Constructs the actual string sent to the file or command line from the report message.
virtual function string compose_report_message( uvm_report_message report_message, string report_object_name = "" )
The debug_connected_to method outputs a visual text display of the port/export/imp network to which this port connects (i.e., the port’s fanout).
function void debug_connected_to ( int level = 0, int max_level = -1 )
Debug traces for create_*_by_name methods.
virtual function void debug_create_by_name ( string requested_type_name, string parent_inst_path = "", string name = "" )
Debug traces for create_*_by_type methods.
virtual function void debug_create_by_type ( uvm_object_wrapper requested_type, string parent_inst_path = "", string name = "" )
The debug_provided_to method outputs a visual display of the port/export network that ultimately connect to this port (i.e., the port’s fanin).
function void debug_provided_to ( int level = 0, int max_level = -1 )
Turn on report catching debug information.
static function void debug_report_catcher( int what = 0 )
Disables auto_item_recording
virtual function void disable_auto_item_recording()
Callback triggered via uvm_tr_stream::close.
protected virtual function void do_close()
Close the backend connection to the database.
protected virtual function bit do_close_db()
Compares this generic payload to rhs.
function bit do_compare( uvm_object rhs, uvm_comparer comparer )
Copy the rhs object into this object.
virtual function void do_copy( uvm_object rhs )
Establishes a link between two elements in the database
protected virtual function void do_establish_link( uvm_link_base link )
Callback triggered via uvm_tr_stream::free.
protected virtual function void do_free()
Callback triggered via uvm_tr_database::open_stream.
protected virtual function void do_open( uvm_tr_database db, string scope, string stream_type_name )
Open the backend connection to the database.
protected virtual function bit do_open_db()
Marks the beginning of a new record in the stream
protected virtual function uvm_recorder do_open_recorder( string name, time open_time, string type_name )
Provides a reference to a stream within the database.
protected virtual function uvm_tr_stream do_open_stream( string name, string scope, string type_name )
Packs the fields of the payload in packer.
function void do_pack( uvm_packer packer )
Unpacks the fields of the payload from packer.
function void do_unpack( uvm_packer packer )
A flag to enable report count summary for each ID
bit enable_report_id_count_summary=1
Handle to optional user data, as conveyed in the call to write(), read(), mirror(), or update() used to trigger the operation.
rand uvm_object extension
Returns a handle to the internal proxy component representing this port.
virtual function uvm_port_component_base get_comp()
Implementation of the pure function declared in uvm_port_component_base
virtual function void get_connected_to( ref uvm_port_list list )
For a port or export type, this function fills list with all of the ports, exports and implementations that this port is connected to.
pure virtual function void get_connected_to( ref uvm_port_list list )
This returns the default address map for this block.
function uvm_reg_map get_default_map ()
Implementation of the get_default_printer method, as defined in section F.4.1.4.13 of 1800.2-2017.
virtual function uvm_printer get_default_printer()
function bit get_enable_print_topology ()
Returns the number of times the rhs has been copied to a unique lhs
function int unsigned get_num_copies( uvm_object rhs )
Returns the current UVM seeding enable value, as set by set_uvm_seeding.
pure virtual function bit get_uvm_seeding()
Returns 1 is auto_item_recording is enabled, otherwise 0
virtual function bit is_auto_item_recording_enabled()
Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
Kind of access: READ or WRITE.
rand uvm_access_e kind
Constructor
function new( string name = "unnamed-uvm_text_tr_database" )
Constructor
function new( string name = "unnamed-uvm_text_tr_stream" )
For memory accesses, the offset address.
rand uvm_reg_addr_t offset
Packs obj into the packer data stream, such that it can be unpacked via an associated unpack_object_with_meta call.
function void uvm_packer::pack_object_with_meta( uvm_object value )
The sequence from which the operation originated.
rand uvm_sequence_base parent
Print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
virtual function void print_accessors()
Prints debug information about all of the typewide report catchers that are registered.
static function void print_catcher( UVM_FILE file = 0 )
Print_config prints all configuration information for this component, as set by previous calls to uvm_config_db::set() and exports to the resources pool.
function void print_config( bit recurse = 0, bit audit = 0 )
Setting this static variable causes uvm_config_db::get() to print info about matching configuration settings as they are being applied.
static bit print_config_matches
A flag to force recording of all messages (add UVM_RM_RECORD action)
bit record_all_messages = 0
Record the read access information for this resource for debug purposes.
function void record_read_access( uvm_object accessor = null )
Record the write access information for this resource for debug purposes.
function void record_write_access( uvm_object accessor = null )
function void set_enable_print_topology ( bit enable )
Sets the file name which will be used for output.
function void set_file_name( string filename )
Sets a type alias for this wrapper in the default factory.
static function bit set_type_alias( string alias_name )
Sets a type alias for this wrapper in the default factory.
static function bit set_type_alias( string alias_name )
Sets a type alias for this wrapper in the default factory.
static function bit set_type_alias( string alias_name )
Sets a type alias for this wrapper in the default factory.
static function bit set_type_alias( string alias_name )
Sets the current UVM seeding enable value, as retrieved by get_uvm_seeding.
pure virtual function void set_uvm_seeding( bit enable )
A flag to add a terminator in the messages, e.g.
bit show_terminator = 0
A flag to include verbosity in the messages, e.g.
bit show_verbosity = 0
Turn tracing off for the configuration database.
static function void turn_off_tracing()
Turn tracing off for the resource database.
static function void turn_off_tracing()
Turn tracing on for the configuration database.
static function void turn_on_tracing()
Turn tracing on for the resource database.
static function void turn_on_tracing()
Unpacks an object which was packed into the packer data stream using pack_object_with_meta.
function void uvm_packer::unpack_object_with_meta( inout uvm_object value )
This class contains static functions for manipulating and retrieving options that control the behavior of the configuration DB facility.
class uvm_config_db_options
This implementation of uvm_port_component class from IEEE 1800.2 declares all the API described in the LRM, plus it inherits from uvm_port_component_base for the purpose of providing the get_connected_to() method.
class uvm_port_component #( type PORT = uvm_object ) extends uvm_port_component_base
This class defines an interface for obtaining a port’s connectivity lists after or during the end_of_elaboration phase.
virtual class uvm_port_component_base extends uvm_component
Associative array of uvm_port_component_base class handles, indexed by string
typedef uvm_port_component_base uvm_port_list[string]
This class contains static functions for manipulating and retrieving options that control the behavior of the resources DB facility.
class uvm_resource_db_options
The uvm_text_recorder is the default recorder implementation for the uvm_text_tr_database.
class uvm_text_recorder extends uvm_recorder
The uvm_text_tr_database is the default implementation for the uvm_tr_database.
class uvm_text_tr_database extends uvm_tr_database
The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.
class uvm_text_tr_stream extends uvm_tr_stream
This task will block until SystemVerilog’s NBA region (or Re-NBA region if called from a program context).
task uvm_wait_for_nba_region
The value to write to, or after completion, the value read from the DUT.
rand uvm_reg_data_t value[]
Outputs a uvm_bitstream_t attribute to the textual log.
function void write_attribute( string nm, uvm_bitstream_t value, uvm_radix_enum radix, int numbits = $bits(uvm_bitstream_t) )
Outputs an uvm_integral_t attribute to the textual log
function void write_attribute_int( string nm, uvm_integral_t value, uvm_radix_enum radix, int numbits = $bits(uvm_bitstream_t) )