uvm_text_tr_stream

UVMkit » 1800.2-2020

The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.

@uvm-accellera The details of this API are specific to the Accellera implementation, and are not being considered for contribution to 1800.2

Summary
uvm_text_tr_stream
The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.
Class Hierarchy
uvm_tr_stream
uvm_text_tr_stream
Class Declaration
class uvm_text_tr_stream extends uvm_tr_stream
newConstructor
Implementation Agnostic API
do_openCallback triggered via <uvm_tr_database::open_stream>.
do_closeCallback triggered via <uvm_tr_stream::close>.
do_freeCallback triggered via <uvm_tr_stream::free>.
do_open_recorderMarks the beginning of a new record in the stream

new

function new(
    string  name  =  "unnamed-uvm_text_tr_stream"
)

Constructor

Parameters

nameInstance name

do_open

protected virtual function void do_open(
    uvm_tr_database  db,
    string  scope,
    string  stream_type_name
)

Callback triggered via <uvm_tr_database::open_stream>.

@uvm-accellera The details of this API are specific to the Accellera implementation, and are not being considered for contribution to 1800.2

do_close

protected virtual function void do_close()

Callback triggered via <uvm_tr_stream::close>.

@uvm-accellera The details of this API are specific to the Accellera implementation, and are not being considered for contribution to 1800.2

do_free

protected virtual function void do_free()

Callback triggered via <uvm_tr_stream::free>.

@uvm-accellera The details of this API are specific to the Accellera implementation, and are not being considered for contribution to 1800.2

do_open_recorder

protected virtual function uvm_recorder do_open_recorder(
    string  name,
    time  open_time,
    string  type_name
)

Marks the beginning of a new record in the stream

Text-backend specific implementation.

@uvm-accellera The details of this API are specific to the Accellera implementation, and are not being considered for contribution to 1800.2

class uvm_text_tr_stream extends uvm_tr_stream
The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.
class uvm_text_tr_database extends uvm_tr_database
The uvm_text_tr_database is the default implementation for the uvm_tr_database.
function new(
    string  name  =  "unnamed-uvm_text_tr_stream"
)
Constructor
protected virtual function void do_open(
    uvm_tr_database  db,
    string  scope,
    string  stream_type_name
)
Callback triggered via uvm_tr_database::open_stream.
protected virtual function void do_close()
Callback triggered via uvm_tr_stream::close.
protected virtual function void do_free()
Callback triggered via uvm_tr_stream::free.
protected virtual function uvm_recorder do_open_recorder(
    string  name,
    time  open_time,
    string  type_name
)
Marks the beginning of a new record in the stream