//---------------------------------------------------------------------- // Copyright 2007-2011 Mentor Graphics Corporation // Copyright 2007-2010 Cadence Design Systems, Inc. // Copyright 2010 Synopsys, Inc. // All Rights Reserved Worldwide // // Licensed under the Apache License, Version 2.0 (the // "License"); you may not use this file except in // compliance with the License. You may obtain a copy of // the License at // // http://www.apache.org/licenses/LICENSE-2.0 // // Unless required by applicable law or agreed to in // writing, software distributed under the License is // distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR // CONDITIONS OF ANY KIND, either express or implied. See // the License for the specific language governing // permissions and limitations under the License. //---------------------------------------------------------------------- //------------------------------------------------------------------------------ // // CLASS: ubus_slave_sequencer // //------------------------------------------------------------------------------ class ubus_slave_sequencer extends uvm_sequencer #(ubus_transfer); // TLM port to peek the address phase from the slave monitor uvm_blocking_peek_port#(ubus_transfer) addr_ph_port; // Provide implementations of virtual methods such as get_type_name and create `uvm_component_utils(ubus_slave_sequencer) function new (string name, uvm_component parent); super.new(name, parent); addr_ph_port = new("addr_ph_port", this); endfunction : new endclass : ubus_slave_sequencer