Class Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
U
 uvm_abstract_component_registry#(T,Tname)
 uvm_abstract_object_registry#(T,Tname)
 uvm_callbacks#(T,CB)
 uvm_component
 uvm_component_registry#(T,Tname)
 uvm_config_db_options
 uvm_copier
 uvm_coreservice_t
 uvm_default_coreservice_t
 uvm_default_factory
 uvm_default_report_server
 uvm_event#(T)
 uvm_line_printer
 uvm_object_registry#(T,Tname)
 uvm_packer
 uvm_port_base
 uvm_port_component#(PORT)
 uvm_port_component_base
 uvm_port_list
 uvm_reg
 uvm_reg_field
 uvm_report_catcher
 uvm_resource#(T)
 uvm_resource_base
 uvm_resource_db
 uvm_resource_db_options
 uvm_root
 uvm_sequencer_base
 uvm_table_printer
 uvm_text_recorder
 uvm_text_tr_database
 uvm_text_tr_stream
 uvm_tlm_generic_payload
 uvm_tree_printer
Implementation of uvm_abstract_component_registry#(T,Tname), as defined by section 8.2.5.1.1 of 1800.2-2020.
Implementation of uvm_abstract_object_registry#(T,Tname), as defined by section 8.2.5.2.1 of 1800.2-2020.
Implementation of uvm_callbacks#(T,CB) class, as defined in section 10.7.2.1.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_component_registry#(T,Tname), as defined by section 8.2.3.1 of 1800.2-2020.
class uvm_config_db_options
This class contains static functions for manipulating and retrieving options that control the behavior of the configuration DB facility.
Implementation of the uvm_copier class, as defined in section 16.6 of 1800.2-2020
The library implements the following public API in addition to what is documented in IEEE 1800.2.
Implementation of the uvm_default_coreservice_t as defined in section F.4.2.1 of 1800.2-2020.
Default implementation of the UVM factory.
Default implementation of the UVM report server, as defined in section 6.5.2 of 1800.2-2020
This is an implementation of uvm_event#(T) as described in 1800.2 with the addition of API described below.
The line printer prints output in a line format.
Implementation of uvm_object_registry#(T,Tname), as defined by section 8.2.4.1 of 1800.2-2020.
Implementation of uvm_packer, as defined in section 16.5.1 of 1800.2-2020
The library implements the following public API beyond what is documented in 1800.2.
class uvm_port_component #(
    type  PORT  =  uvm_object
) extends uvm_port_component_base
This implementation of uvm_port_component class from IEEE 1800.2 declares all the API described in the LRM, plus it inherits from uvm_port_component_base for the purpose of providing the get_connected_to() method.
virtual class uvm_port_component_base extends uvm_component
This class defines an interface for obtaining a port’s connectivity lists after or during the end_of_elaboration phase.
typedef uvm_port_component_base uvm_port_list[string]
Associative array of uvm_port_component_base class handles, indexed by string
This is an implementation of uvm_reg as described in 1800.2 with the addition of API described below.
This is an implementation of uvm_reg_field as described in 1800.2 with the addition of API described below.
Implementation of uvm_resource#(T) as defined in section C.2.5.1 of 1800.2-2020.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_resource_db, as defined in section C.3.2.1 of 1800.2-2020.
class uvm_resource_db_options
This class contains static functions for manipulating and retrieving options that control the behavior of the resources DB facility.
class uvm_root extends uvm_component
The library implements some public API beyond what is documented in 1800.2.
The table printer prints output in a tabular format.
class uvm_text_recorder extends uvm_recorder
The uvm_text_recorder is the default recorder implementation for the uvm_text_tr_database.
class uvm_text_tr_database extends uvm_tr_database
The uvm_text_tr_database is the default implementation for the uvm_tr_database.
class uvm_text_tr_stream extends uvm_tr_stream
The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.
Implementation of uvm_tlm_generic_payload, as described in section 12.3.4.2.1 of 1800.2-2020.
By overriding various methods of the uvm_printer super class, the tree printer prints output in a tree format.