Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
$#!
 +UVM_CONFIG_DB_TRACE
 +UVM_DUMP_CMDLINE_ARGS
 +UVM_OBJECTION_TRACE
 +UVM_PHASE_TRACE
 +UVM_RESOURCE_DB_TRACE
0-9
 2-2020 Class Reference
A
 Audit Trail
B
 begin_tr
C
 Callbacks Classes
 cbs_type
 Command Line Debug
 compose_report_message
 Core Service
D
 debug_connected_to
 debug_create_by_name
 debug_create_by_type
 debug_provided_to
 debug_report_catcher
 do_close
 do_close_db
 do_compare
 do_copy
 do_establish_link
 do_free
 do_open
 do_open_db
 do_open_recorder
 do_open_stream
E
 enable_report_id_count_summary
 extension
F
 Factory Component and Object Wrappers
G
 get_comp
 get_connected_to
 get_default_map
 get_default_printer
 get_enable_print_topology
 get_first_copy
 get_last_copy
 get_next_copy
 get_num_copies
 get_prev_copy
 get_print_config_matches
 get_rand_mode
I
 Implementation Agnostic API
 Implementation Specific API
 is_tracing
K
 kind
M
 Macros
 Methods
 Miscellaneous
N
 new
O
 offset
P
 pack_object_with_meta
 Packing Macros
 parent
 Port Base Classes
 print_accessors
 print_catcher
 print_config
R
 read
 record_all_messages
 record_read_access
 record_write_access
 Recording Macros
 Report Catcher
 Resources
S
 set_enable_print_topology
 set_file_name
 set_print_config_matches
 set_rand_mode
 set_recording_enabled
 set_type_alias
 show_terminator
 show_verbosity
T
 turn_off_tracing
 turn_on_tracing
 Types
U
 unpack_object_with_meta
 uvm_abstract_component_registry#(T,Tname)
 uvm_abstract_object_registry#(T,Tname)
 uvm_callbacks#(T,CB)
 uvm_component
 uvm_component_registry#(T,Tname)
 uvm_config_db_options
 uvm_copier
 uvm_core_state
 uvm_coreservice_t
 uvm_default_coreservice_t
 uvm_default_factory
 uvm_default_report_server
 UVM_DISABLE_AUTO_ITEM_RECORDING
 uvm_event#(T)
 uvm_globals.svh
 uvm_init
 uvm_line_printer
 uvm_object_registry#(T,Tname)
 uvm_packer
 uvm_port_base
 uvm_port_component#(PORT)
 uvm_port_component_base
 uvm_port_list
 uvm_printer
 uvm_reg
 uvm_reg_field
 uvm_reg_item.svh
 uvm_report_catcher
 uvm_resource#(T)
 uvm_resource_base
 uvm_resource_db
 uvm_resource_db_options
 uvm_root
 uvm_sequencer_base
 uvm_table_printer
 uvm_text_recorder
 uvm_text_tr_database
 uvm_text_tr_stream
 uvm_tlm_generic_payload
 uvm_tree_printer
 uvm_wait_for_nba_region
V
 value
 Variables
W
 wait_for_sequences_count
 write
 write_attribute
 write_attribute_int
+UVM_CONFIG_DB_TRACE turns on tracing of configuration DB accesses.
+UVM_DUMP_CMDLINE_ARGS allows the user to dump all command line arguments to the reporting mechanism.
+UVM_OBJECTION_TRACE turns on tracing of objection activity.
+UVM_PHASE_TRACE turns on tracing of phase executions.
+UVM_RESOURCE_DB_TRACE turns on tracing of resource DB accesses.
This library implements the API as documented in the IEEE 1800.2-2020 standard.
To find out what is happening as the simulation proceeds, an audit trail of each read and write is kept.
Implementation of uvm_component::begin_tr as described in IEEE 1800.2-2020.
This section defines the classes used for callback registration, management, and user-defined callbacks.
Callback typedef for this event type.
Debug command line plusargs that are available in the Accellera reference implementation but not documented in the IEEE UVM 1800.2-2020 LRM
virtual function string compose_report_message(
    uvm_report_message  report_message,   
    string  report_object_name  =  ""
)
Constructs the actual string sent to the file or command line from the report message.
function void debug_connected_to (
    int  level  =  0,
    int  max_level  =  -1
)
The debug_connected_to method outputs a visual text display of the port/export/imp network to which this port connects (i.e., the port’s fanout).
virtual function void debug_create_by_name (
    string  requested_type_name,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
Debug traces for create_*_by_name methods.
virtual function void debug_create_by_type (
    uvm_object_wrapper  requested_type,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
Debug traces for create_*_by_type methods.
function void debug_provided_to (
    int  level  =  0,
    int  max_level  =  -1
)
The debug_provided_to method outputs a visual display of the port/export network that ultimately connect to this port (i.e., the port’s fanin).
static function void debug_report_catcher(
    int  what  =  0
)
Turn on report catching debug information.
protected virtual function void do_close()
Callback triggered via uvm_tr_stream::close.
protected virtual function bit do_close_db()
Close the backend connection to the database.
function bit do_compare(
    uvm_object  rhs,
    uvm_comparer  comparer
)
Compares this generic payload to rhs.
virtual function void do_copy(
    uvm_object  rhs
)
Copy the rhs object into this object.
protected virtual function void do_establish_link(
    uvm_link_base  link
)
Establishes a link between two elements in the database
protected virtual function void do_free()
Callback triggered via uvm_tr_stream::free.
protected virtual function void do_open(
    uvm_tr_database  db,
    string  scope,
    string  stream_type_name
)
Callback triggered via uvm_tr_database::open_stream.
protected virtual function bit do_open_db()
Open the backend connection to the database.
protected virtual function uvm_recorder do_open_recorder(
    string  name,
    time  open_time,
    string  type_name
)
Marks the beginning of a new record in the stream
protected virtual function uvm_tr_stream do_open_stream(
    string  name,
    string  scope,
    string  type_name
)
Provides a reference to a stream within the database.
bit enable_report_id_count_summary=1
A flag to enable report count summary for each ID
rand uvm_object extension
Handle to optional user data, as conveyed in the call to write(), read(), mirror(), or update() used to trigger the operation.
This section defines the proxy component and object classes used by the factory.
virtual function uvm_port_component_base get_comp()
Returns a handle to the internal proxy component representing this port.
virtual function void get_connected_to(
    ref  uvm_port_list  list
)
Implementation of the pure function declared in uvm_port_component_base
pure virtual function void get_connected_to(
    ref  uvm_port_list  list
)
For a port or export type, this function fills list with all of the ports, exports and implementations that this port is connected to.
virtual function uvm_reg_map get_default_map ()
virtual function uvm_printer get_default_printer()
Implementation of the get_default_printer method, as defined in section F.4.1.4.13 of 1800.2-2020.
function bit get_enable_print_topology ()
function int unsigned get_num_copies(
    uvm_object  rhs
)
Returns the number of times the rhs has been copied to a unique lhs
static function bit get_print_config_matches()
static function bit get_print_config_matches()
virtual function bit get_rand_mode()
Returns the rand_mode of the field instance
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
rand uvm_access_e kind
Kind of access: READ or WRITE.
The library implements the following public API at the package level beyond what is documented in IEEE 1800.2.
function new(
    string  name  =  "unnamed-uvm_text_tr_database"
)
Constructor
function new(
    string  name  =  "unnamed-uvm_text_tr_stream"
)
Constructor
rand uvm_reg_addr_t offset
For memory accesses, the offset address.
function void uvm_packer::pack_object_with_meta(
    uvm_object  value
)
Packs obj into the packer data stream, such that it can be unpacked via an associated unpack_object_with_meta call.
The packing macros are implemented as described in section B.2.4 of the 1800.2 specification.
rand uvm_sequence_base parent
The sequence from which the operation originated.
virtual function void print_accessors()
Print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
static function void print_catcher(
    UVM_FILE  file  =  0
)
Prints debug information about all of the typewide report catchers that are registered.
function void print_config(
    bit  recurse  =  0,
    bit  audit  =  0
)
Print_config prints all configuration information for this component, as set by previous calls to uvm_config_db::set() and exports to the resources pool.
bit record_all_messages = 0
A flag to force recording of all messages (add UVM_RM_RECORD action)
function void record_read_access(
    uvm_object  accessor  =  null
)
Record the read access information for this resource for debug purposes.
function void record_write_access(
    uvm_object  accessor  =  null
)
Record the write access information for this resource for debug purposes.
The recording macros are implemented as described in section B.2.3 of the 1800.2 specification.
Contains debug methods in the Accellera UVM implementation not documented in the IEEE 1800.2-2020 LRM
function void set_enable_print_topology (
    bit  enable
)
function void set_file_name(
    string  filename
)
Sets the file name which will be used for output.
static function void set_print_config_matches(
    bit  val
)
static function void set_print_config_matches(bit val)
virtual function void set_rand_mode(
    bit  rand_mode
)
Modifies the rand_mode for the field instance to the specified one
In addition to the functionality described in IEEE 1800.2, this library implements a call to set_recording_enabled in build_phase when a config_db access of the form uvm_config_db #(uvm_bitstream_t)::get(this, “”, “recording_detail”, x) or uvm_config_db #(int)::get(this, “”, “recording_detail”, x) returns a non-zero value for x
static function bit set_type_alias(
    string  alias_name
)
Sets a type alias for this wrapper in the default factory.
static function bit set_type_alias(
    string  alias_name
)
Sets a type alias for this wrapper in the default factory.
static function bit set_type_alias(
    string  alias_name
)
Sets a type alias for this wrapper in the default factory.
static function bit set_type_alias(
    string  alias_name
)
Sets a type alias for this wrapper in the default factory.
bit show_terminator = 0
A flag to add a terminator in the messages, e.g.
bit show_verbosity = 0
A flag to include verbosity in the messages, e.g.
static function void turn_off_tracing()
Turn tracing off for the configuration database.
static function void turn_off_tracing()
Turn tracing off for the resource database.
static function void turn_on_tracing()
Turn tracing on for the configuration database.
static function void turn_on_tracing()
Turn tracing on for the resource database.
function void uvm_packer::unpack_object_with_meta(
    inout  uvm_object  value
)
Unpacks an object which was packed into the packer data stream using pack_object_with_meta.
Implementation of uvm_abstract_component_registry#(T,Tname), as defined by section 8.2.5.1.1 of 1800.2-2020.
Implementation of uvm_abstract_object_registry#(T,Tname), as defined by section 8.2.5.2.1 of 1800.2-2020.
Implementation of uvm_callbacks#(T,CB) class, as defined in section 10.7.2.1.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_component_registry#(T,Tname), as defined by section 8.2.3.1 of 1800.2-2020.
class uvm_config_db_options
This class contains static functions for manipulating and retrieving options that control the behavior of the configuration DB facility.
Implementation of the uvm_copier class, as defined in section 16.6 of 1800.2-2020
Implementation of the uvm_core_state enumeration, as defined in section F.2.10 of 1800.2-2020.
The library implements the following public API in addition to what is documented in IEEE 1800.2.
Implementation of the uvm_default_coreservice_t as defined in section F.4.2.1 of 1800.2-2020.
Default implementation of the UVM factory.
Default implementation of the UVM report server, as defined in section 6.5.2 of 1800.2-2020
Performs the same function as the 1800.2 define UVM_DISABLE_RECORDING, globally turning off automatic item recording when defined by the user.
This is an implementation of uvm_event#(T) as described in 1800.2 with the addition of API described below.
Implementation of uvm_init, as defined in section F.3.1.3 in 1800.2-2020.
The line printer prints output in a line format.
Implementation of uvm_object_registry#(T,Tname), as defined by section 8.2.4.1 of 1800.2-2020.
Implementation of uvm_packer, as defined in section 16.5.1 of 1800.2-2020
The library implements the following public API beyond what is documented in 1800.2.
class uvm_port_component #(
    type  PORT  =  uvm_object
) extends uvm_port_component_base
This implementation of uvm_port_component class from IEEE 1800.2 declares all the API described in the LRM, plus it inherits from uvm_port_component_base for the purpose of providing the get_connected_to() method.
virtual class uvm_port_component_base extends uvm_component
This class defines an interface for obtaining a port’s connectivity lists after or during the end_of_elaboration phase.
typedef uvm_port_component_base uvm_port_list[string]
Associative array of uvm_port_component_base class handles, indexed by string
This is an implementation of uvm_reg as described in 1800.2 with the addition of API described below.
This is an implementation of uvm_reg_field as described in 1800.2 with the addition of API described below.
Implementation of uvm_resource#(T) as defined in section C.2.5.1 of 1800.2-2020.
The library implements the following public API beyond what is documented in 1800.2.
Implementation of uvm_resource_db, as defined in section C.3.2.1 of 1800.2-2020.
class uvm_resource_db_options
This class contains static functions for manipulating and retrieving options that control the behavior of the resources DB facility.
class uvm_root extends uvm_component
The library implements some public API beyond what is documented in 1800.2.
The table printer prints output in a tabular format.
class uvm_text_recorder extends uvm_recorder
The uvm_text_recorder is the default recorder implementation for the uvm_text_tr_database.
class uvm_text_tr_database extends uvm_tr_database
The uvm_text_tr_database is the default implementation for the uvm_tr_database.
class uvm_text_tr_stream extends uvm_tr_stream
The uvm_text_tr_stream is the default stream implementation for the uvm_text_tr_database.
Implementation of uvm_tlm_generic_payload, as described in section 12.3.4.2.1 of 1800.2-2020.
By overriding various methods of the uvm_printer super class, the tree printer prints output in a tree format.
task uvm_wait_for_nba_region
This task will block until SystemVerilog’s NBA region (or Re-NBA region if called from a program context).
rand uvm_reg_data_t value[]
The value to write to, or after completion, the value read from the DUT.
Controls the number of wait_for_sequences calls when selecting next sequence.
function void write_attribute(
    string  nm,   
    uvm_bitstream_t  value,   
    uvm_radix_enum  radix,   
    int  numbits  =  $bits(uvm_bitstream_t)
)
Outputs a uvm_bitstream_t attribute to the textual log.
function void write_attribute_int(
    string  nm,   
    uvm_integral_t  value,   
    uvm_radix_enum  radix,   
    int  numbits  =  $bits(uvm_bitstream_t)
)
Outputs an uvm_integral_t attribute to the textual log