Method Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
B
 begin_tr
C
 compose_report_message
D
 debug_connected_to
 debug_create_by_name
 debug_create_by_type
 debug_provided_to
 debug_report_catcher
 do_close
 do_close_db
 do_compare
 do_copy
 do_establish_link
 do_free
 do_open
 do_open_db
 do_open_recorder
 do_open_stream
G
 get_comp
 get_connected_to
 get_default_map
 get_default_printer
 get_enable_print_topology
 get_first_copy
 get_last_copy
 get_next_copy
 get_num_copies
 get_prev_copy
 get_print_config_matches
 get_rand_mode
I
 is_tracing
N
 new
P
 pack_object_with_meta
 print_accessors
 print_catcher
 print_config
R
 read
 record_read_access
 record_write_access
S
 set_enable_print_topology
 set_file_name
 set_print_config_matches
 set_rand_mode
 set_recording_enabled
 set_type_alias
T
 turn_off_tracing
 turn_on_tracing
U
 unpack_object_with_meta
 uvm_init
 uvm_wait_for_nba_region
W
 write
 write_attribute
 write_attribute_int
Implementation of uvm_component::begin_tr as described in IEEE 1800.2-2020.
virtual function string compose_report_message(
    uvm_report_message  report_message,   
    string  report_object_name  =  ""
)
Constructs the actual string sent to the file or command line from the report message.
function void debug_connected_to (
    int  level  =  0,
    int  max_level  =  -1
)
The debug_connected_to method outputs a visual text display of the port/export/imp network to which this port connects (i.e., the port’s fanout).
virtual function void debug_create_by_name (
    string  requested_type_name,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
Debug traces for create_*_by_name methods.
virtual function void debug_create_by_type (
    uvm_object_wrapper  requested_type,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
Debug traces for create_*_by_type methods.
function void debug_provided_to (
    int  level  =  0,
    int  max_level  =  -1
)
The debug_provided_to method outputs a visual display of the port/export network that ultimately connect to this port (i.e., the port’s fanin).
static function void debug_report_catcher(
    int  what  =  0
)
Turn on report catching debug information.
protected virtual function void do_close()
Callback triggered via uvm_tr_stream::close.
protected virtual function bit do_close_db()
Close the backend connection to the database.
function bit do_compare(
    uvm_object  rhs,
    uvm_comparer  comparer
)
Compares this generic payload to rhs.
virtual function void do_copy(
    uvm_object  rhs
)
Copy the rhs object into this object.
protected virtual function void do_establish_link(
    uvm_link_base  link
)
Establishes a link between two elements in the database
protected virtual function void do_free()
Callback triggered via uvm_tr_stream::free.
protected virtual function void do_open(
    uvm_tr_database  db,
    string  scope,
    string  stream_type_name
)
Callback triggered via uvm_tr_database::open_stream.
protected virtual function bit do_open_db()
Open the backend connection to the database.
protected virtual function uvm_recorder do_open_recorder(
    string  name,
    time  open_time,
    string  type_name
)
Marks the beginning of a new record in the stream
protected virtual function uvm_tr_stream do_open_stream(
    string  name,
    string  scope,
    string  type_name
)
Provides a reference to a stream within the database.
virtual function uvm_port_component_base get_comp()
Returns a handle to the internal proxy component representing this port.
virtual function void get_connected_to(
    ref  uvm_port_list  list
)
Implementation of the pure function declared in uvm_port_component_base
pure virtual function void get_connected_to(
    ref  uvm_port_list  list
)
For a port or export type, this function fills list with all of the ports, exports and implementations that this port is connected to.
virtual function uvm_reg_map get_default_map ()
virtual function uvm_printer get_default_printer()
Implementation of the get_default_printer method, as defined in section F.4.1.4.13 of 1800.2-2020.
function bit get_enable_print_topology ()
function int unsigned get_num_copies(
    uvm_object  rhs
)
Returns the number of times the rhs has been copied to a unique lhs
static function bit get_print_config_matches()
static function bit get_print_config_matches()
virtual function bit get_rand_mode()
Returns the rand_mode of the field instance
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
function new(
    string  name  =  "unnamed-uvm_text_tr_database"
)
Constructor
function new(
    string  name  =  "unnamed-uvm_text_tr_stream"
)
Constructor
function void uvm_packer::pack_object_with_meta(
    uvm_object  value
)
Packs obj into the packer data stream, such that it can be unpacked via an associated unpack_object_with_meta call.
virtual function void print_accessors()
Print the read/write access history of the resource, using the accessor argument accessor which is passed to the uvm_resource#(T)::read and uvm_resource#(T)::write
static function void print_catcher(
    UVM_FILE  file  =  0
)
Prints debug information about all of the typewide report catchers that are registered.
function void print_config(
    bit  recurse  =  0,
    bit  audit  =  0
)
Print_config prints all configuration information for this component, as set by previous calls to uvm_config_db::set() and exports to the resources pool.
function void record_read_access(
    uvm_object  accessor  =  null
)
Record the read access information for this resource for debug purposes.
function void record_write_access(
    uvm_object  accessor  =  null
)
Record the write access information for this resource for debug purposes.
function void set_enable_print_topology (
    bit  enable
)
function void set_file_name(
    string  filename
)
Sets the file name which will be used for output.
static function void set_print_config_matches(
    bit  val
)
static function void set_print_config_matches(bit val)
virtual function void set_rand_mode(
    bit  rand_mode
)
Modifies the rand_mode for the field instance to the specified one
In addition to the functionality described in IEEE 1800.2, this library implements a call to set_recording_enabled in build_phase when a config_db access of the form uvm_config_db #(uvm_bitstream_t)::get(this, “”, “recording_detail”, x) or uvm_config_db #(int)::get(this, “”, “recording_detail”, x) returns a non-zero value for x
static function bit set_type_alias(
    string  alias_name
)
Sets a type alias for this wrapper in the default factory.
static function bit set_type_alias(
    string  alias_name
)
Sets a type alias for this wrapper in the default factory.
static function bit set_type_alias(
    string  alias_name
)
Sets a type alias for this wrapper in the default factory.
static function bit set_type_alias(
    string  alias_name
)
Sets a type alias for this wrapper in the default factory.
static function void turn_off_tracing()
Turn tracing off for the configuration database.
static function void turn_off_tracing()
Turn tracing off for the resource database.
static function void turn_on_tracing()
Turn tracing on for the configuration database.
static function void turn_on_tracing()
Turn tracing on for the resource database.
function void uvm_packer::unpack_object_with_meta(
    inout  uvm_object  value
)
Unpacks an object which was packed into the packer data stream using pack_object_with_meta.
Implementation of uvm_init, as defined in section F.3.1.3 in 1800.2-2020.
task uvm_wait_for_nba_region
This task will block until SystemVerilog’s NBA region (or Re-NBA region if called from a program context).
function void write_attribute(
    string  nm,   
    uvm_bitstream_t  value,   
    uvm_radix_enum  radix,   
    int  numbits  =  $bits(uvm_bitstream_t)
)
Outputs a uvm_bitstream_t attribute to the textual log.
function void write_attribute_int(
    string  nm,   
    uvm_integral_t  value,   
    uvm_radix_enum  radix,   
    int  numbits  =  $bits(uvm_bitstream_t)
)
Outputs an uvm_integral_t attribute to the textual log