U | |
ungrab | |
unlock | |
unpack | |
unpack_bytes | |
unpack_field | |
unpack_field_int | |
unpack_ints | |
unpack_object | |
unpack_object_with_meta | |
unpack_real | |
unpack_string | |
unpack_time | |
update | |
use_automatic_config | |
use_response_handler | |
used | |
uvm_bits_to_string | |
uvm_get_report_object | |
uvm_hdl_check_path | |
uvm_hdl_deposit | |
uvm_hdl_force | |
uvm_hdl_force_time | |
uvm_hdl_read | |
uvm_hdl_release | |
uvm_hdl_release_and_read | |
uvm_init | |
uvm_process_report_message | |
uvm_report | |
uvm_report_enabled | |
uvm_report_error | |
uvm_report_fatal | |
uvm_report_info | |
uvm_report_warning | |
uvm_split_string | |
uvm_string_to_bits | |
uvm_wait_for_nba_region | |
W | |
wait_for | |
wait_for_grant | |
wait_for_item_done | |
wait_for_relevant | |
wait_for_sequence_state | |
wait_for_sequences | |
wait_modified | |
wait_off | |
wait_on | |
wait_ptrigger | |
wait_ptrigger_data | |
wait_trigger | |
wait_trigger_data | |
wait_until_not_empty | |
write | |
write_attribute | |
write_attribute_int | |
write_mem_by_name | |
write_reg_by_name |
Removes any locks or grabs obtained by this sequence on the specified sequencer.
function void ungrab( uvm_sequencer_base sequencer = null )
Removes any locks or grabs obtained by this sequence on the specified sequencer.
function void unlock( uvm_sequencer_base sequencer = null )
Unlocks the data value
function void unlock()
function int unpack ( ref bit bitstream[], input uvm_packer packer = null )
function int unpack_bytes ( ref byte unsigned bytestream[], input uvm_packer packer = null )
Unpacks bits from the pack array and returns the bit-stream that was unpacked.
virtual function uvm_bitstream_t unpack_field ( int size )
Unpacks bits from the pack array and returns the bit-stream that was unpacked.
virtual function uvm_integral_t unpack_field_int ( int size )
The unpack methods extract property values from an array of bits, bytes, or ints.
function int unpack_ints ( ref int unsigned intstream[], input uvm_packer packer = null )
Unpacks an object and stores the result into value.
virtual function void unpack_object ( uvm_object value )
Unpacks the next 64 bits of the pack array and places them into a real variable.
virtual function real unpack_real ()
Unpacks a string.
virtual function string unpack_string ()
Unpacks the next 64 bits of the pack array and places them into a time variable.
virtual function time unpack_time ()
Batch update of register.
virtual task update( output uvm_status_e status, input uvm_door_e path = UVM_DEFAULT_DOOR, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Returns 1 if the component should call apply_config_settings in the build_phase; otherwise, returns 0.
virtual function bit use_automatic_config()
When called with enable set to 1, responses will be sent to the response handler.
function void use_response_handler( bit enable )
Returns the number of entries put into the FIFO.
virtual function int used()
Converts an input bit-vector to its string equivalent.
function string uvm_bits_to_string( logic [UVM_LARGE_STRING:0] str )
Returns the nearest uvm_report_object when called.
function uvm_report_object uvm_get_report_object()
Checks that the given HDL path exists.
import "DPI-C" context function int uvm_hdl_check_path( string path )
Sets the given HDL path to the specified value.
import "DPI-C" context function int uvm_hdl_deposit( string path, uvm_hdl_data_t value )
Forces the value on the given path.
import "DPI-C" context function int uvm_hdl_force( string path, uvm_hdl_data_t value )
Forces the value on the given path for the specified amount of force_time.
task uvm_hdl_force_time( string path, uvm_hdl_data_t value, time force_time = 0 )
Gets the value at the given path.
import "DPI-C" context function int uvm_hdl_read( string path, output uvm_hdl_data_t value )
Releases a value previously set with uvm_hdl_force.
import "DPI-C" context function int uvm_hdl_release( string path )
Releases a value previously set with uvm_hdl_force.
import "DPI-C" context function int uvm_hdl_release_and_read( string path, inout uvm_hdl_data_t value )
Implementation of uvm_init, as defined in section F.3.1.3 in 1800.2-2017.
function void uvm_init( uvm_coreservice_t cs = null )
This method, defined in package scope, is a convenience function that delegate to the corresponding component method in uvm_top.
function void uvm_process_report_message( uvm_report_message report_message )
This method takes a preformed uvm_report_message, populates it with the report object and passes it to the report handler for processing.
virtual function void uvm_process_report_message( uvm_report_message report_message )
function void uvm_report( uvm_severity severity, string id, string message, int verbosity = (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
Issues a message using the current message’s report object.
protected function void uvm_report( uvm_severity severity, string id, string message, int verbosity, string fname = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
virtual function void uvm_report( uvm_severity severity, string id, string message, int verbosity = (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
Returns 1 if the configured verbosity in uvm_top for this severity/id is greater than or equal to verbosity else returns 0.
function int uvm_report_enabled ( int verbosity, uvm_severity severity = UVM_INFO, string id = "" )
Returns 1 if the configured verbosity for this severity/id is greater than or equal to verbosity else returns 0.
function int uvm_report_enabled( int verbosity, uvm_severity severity = UVM_INFO, string id = "" )
function void uvm_report_error( string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
Issues an error message using the current message’s report object.
protected function void uvm_report_error( string id, string message, int verbosity, string fname = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
virtual function void uvm_report_error( string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
virtual function void uvm_report_error( string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
These methods, defined in package scope, are convenience functions that delegate to the corresponding component methods in uvm_top.
function void uvm_report_fatal( string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
Issues a fatal message using the current message’s report object.
protected function void uvm_report_fatal( string id, string message, int verbosity, string fname = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
These are the primary reporting methods in the UVM.
virtual function void uvm_report_fatal( string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
These are the primary reporting methods in the UVM.
virtual function void uvm_report_fatal( string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
function void uvm_report_info( string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
Issues a info message using the current message’s report object.
protected function void uvm_report_info( string id, string message, int verbosity, string fname = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
virtual function void uvm_report_info( string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
virtual function void uvm_report_info( string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
function void uvm_report_warning( string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
Issues a warning message using the current message’s report object.
protected function void uvm_report_warning( string id, string message, int verbosity, string fname = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
virtual function void uvm_report_warning( string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
virtual function void uvm_report_warning( string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0, string context_name = "", bit report_enabled_checked = 0 )
Returns a queue of strings, values, that is the result of the str split based on the sep.
function automatic void uvm_split_string ( string str, byte sep, ref string values[$] )
Converts an input string to its bit-vector equivalent.
function logic[UVM_LARGE_STRING:0] uvm_string_to_bits( string str )
This task will block until SystemVerilog’s NBA region (or Re-NBA region if called from a program context).
task uvm_wait_for_nba_region
Waits for enough processes to reach the barrier before continuing.
virtual task wait_for()
This task issues a request to the current sequencer.
virtual task wait_for_grant( int item_priority = -1, bit lock_request = 0 )
This task issues a request for the specified sequence.
virtual task wait_for_grant( uvm_sequence_base sequence_ptr, int item_priority = -1, bit lock_request = 0 )
A sequence may optionally call wait_for_item_done.
virtual task wait_for_item_done( int transaction_id = -1 )
This method is called by the sequencer when all available sequences are not relevant.
virtual task wait_for_relevant()
Waits until the sequence reaches one of the given state.
task wait_for_sequence_state( int unsigned state_mask )
Waits for a sequence to have a new item available.
virtual task wait_for_sequences()
Waits for a sequence to have a new item available.
virtual task wait_for_sequences()
Wait for a configuration setting to be set for field_name in cntxt and inst_name.
static task wait_modified( uvm_component cntxt, string inst_name, string field_name )
This task blocks until the resource has been modified -- that is, a uvm_resource#(T)::write operation has been performed.
task wait_modified()
If the event has already triggered and is “on”, this task waits for the event to be turned “off” via a call to reset.
virtual task wait_off ( bit delta = 0 )
Waits for the event to be activated for the first time.
virtual task wait_on ( bit delta = 0 )
Waits for a persistent trigger of the event.
virtual task wait_ptrigger ()
This method calls uvm_event_base::wait_ptrigger followed by get_trigger_data.
virtual task wait_ptrigger_data ( output T data )
Waits for the event to be triggered.
virtual task wait_trigger ()
This method calls uvm_event_base::wait_trigger followed by get_trigger_data.
virtual task wait_trigger_data ( output T data )
Blocks until not empty
virtual task wait_until_not_empty()
function void write( T t, uvm_object accessor = null )
A pure virtual method that must be defined in each subclass.
pure virtual function void write( T t )
Broadcasts a user-defined transaction of type T to any number of listeners.
virtual function void write( input T1 t )
Outputs a uvm_bitstream_t attribute to the textual log.
function void write_attribute( string nm, uvm_bitstream_t value, uvm_radix_enum radix, int numbits = $bits(uvm_bitstream_t) )
Outputs an uvm_integral_t attribute to the textual log
function void write_attribute_int( string nm, uvm_integral_t value, uvm_radix_enum radix, int numbits = $bits(uvm_bitstream_t) )
Write the named memory
virtual task write_mem_by_name( output uvm_status_e status, input string name, input uvm_reg_addr_t offset, input uvm_reg_data_t data, input uvm_door_e path = UVM_DEFAULT_DOOR, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Write the named register
virtual task write_reg_by_name( output uvm_status_e status, input string name, input uvm_reg_data_t data, input uvm_door_e path = UVM_DEFAULT_DOOR, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )