G | |
generate_stimulus | |
get Globaluvm_abstract_component_registry#(T,Tname)uvm_abstract_object_registry#(T,Tname)uvm_build_phaseuvm_connect_phaseuvm_end_of_elaboration_phaseuvm_final_phaseuvm_get_to_lock_dapuvm_object_string_pool#(T)uvm_pool#(KEY,T)uvm_queue#(T)uvm_resource_pooluvm_rootuvm_sequencer#(REQ,RSP)uvm_set_before_get_dapuvm_set_get_dap_baseuvm_simple_lock_dapuvm_sqr_if_base#(REQ,RSP)uvm_start_of_simulation_phaseuvm_tlm_if_base#(T1,T2) | |
get_abstime | |
get_accept_time | |
get_access | |
get_action | |
get_active_object | |
get_active_object_depth | |
get_addr_unit_bytes | |
get_address | |
get_all | |
get_arg_matches | |
get_arg_value | |
get_arg_values | |
get_args | |
get_backdoor | |
get_begin_time | |
get_block_by_name | |
get_blocks | |
get_by_name | |
get_by_type | |
get_byte_enable_length | |
get_cb | |
get_check_on_read | |
get_children | |
get_client | |
get_command | |
get_common_domain | |
get_comp | |
get_component_visitor | |
get_config | |
get_connected_to | |
get_context | |
get_coverage | |
get_current_item | |
get_data | |
get_data_length | |
get_default | |
get_default_door | |
get_default_hdl_path | |
get_default_map | |
get_default_path | |
get_default_printer | |
get_default_tr_database | |
get_depth | |
get_domain | |
get_drain_time | |
get_element_container | |
get_elements | |
get_enable_print_topology | |
get_end_offset | |
get_end_time | |
get_event_pool | |
get_extension | |
get_factory | |
get_field_by_name | |
get_fields | |
get_file | |
get_filename | |
get_finish_on_completion | |
get_first | |
get_first_child | |
get_first_copy | |
get_fname | |
get_full_hdl_path | |
get_full_name | |
get_global | |
get_global_pool | |
get_global_queue | |
get_hdl_path | |
get_highest_precedence | |
get_id | |
get_id_count | |
get_if | |
get_immediate_children | |
get_incr | |
get_initiator | |
get_inst | |
get_inst_count | |
get_inst_id | |
get_is_active | |
get_last | |
get_last_copy | |
get_len | |
get_line | |
get_lsb_pos | |
get_lsb_pos_in_register | |
get_map_by_name | |
get_maps | |
get_max_quit_count | |
get_max_size | |
get_mem_by_name | |
get_memories | |
get_memory | |
get_message | |
get_message_database | |
get_n_bits | |
get_n_bytes | |
get_n_memlocs | |
get_name | |
get_name_constraint | |
get_next | |
get_next_copy | |
get_next_item | |
get_num_children | |
get_num_copies | |
get_num_extensions | |
get_num_last_reqs | |
get_num_last_rsps | |
get_num_reqs_sent | |
get_num_rsps_received | |
get_num_waiters | |
get_object_type | |
get_objection_count | |
get_objection_total | |
get_objectors | |
get_packed_* | |
get_packed_size | |
get_parent | |
get_parent_sequence | |
get_plusargs | |
get_port | |
get_prev | |
get_prev_copy | |
get_priority | |
get_quit_count | |
get_radix_str | |
get_realtime | |
get_reg_by_name | |
get_registers | |
get_report_action | |
get_report_catcher | |
get_report_file_handle | |
get_report_handler | |
get_report_max_verbosity_level | |
get_report_object | |
get_report_server | |
get_report_verbosity_level | |
get_reset | |
get_response | |
get_response_queue_depth | |
get_response_queue_error_report_enabled | |
get_response_status | |
get_response_string | |
get_root_blocks | |
get_root_sequence | |
get_root_sequence_name | |
get_scope | |
get_sequence_id | |
get_sequence_path | |
get_sequence_state | |
get_sequencer | |
get_server | |
get_severity | |
get_severity_count | |
get_size | |
get_start_offset | |
get_starting_phase | |
get_streaming_width | |
get_submap_offset | |
get_threshold | |
get_tool_name | |
get_tool_version | |
get_tr_handle | |
get_transaction_id | |
get_trigger_data | |
get_trigger_time | |
get_type | |
get_type_handle | |
get_type_handle_name | |
get_type_name | |
get_use_response_handler | |
get_use_sequence_info | |
get_uvm_domain | |
get_uvm_schedule | |
get_uvm_seeding | |
get_uvmargs | |
get_value | |
get_verbosity | |
get_vfield_by_name | |
get_virtual_fields | |
get_virtual_registers | |
get_vreg_by_name | |
get_vreg_by_offset | |
grab | |
H | |
has_child | |
has_coverage | |
has_do_available | |
has_hdl_path | |
has_lock | |
I | |
ID | |
incr | |
incr_id_count | |
incr_quit_count | |
incr_severity_count | |
init_access_record | |
init_sequence_library | |
insert | |
is_active | |
is_auditing | |
is_auto_item_recording_enabled | |
is_blocked | |
is_dmi_allowed | |
is_empty | |
is_enabled | |
is_export | |
is_full | |
is_hdl_path_root | |
is_imp | |
is_item | |
is_locked | |
is_null | |
is_off | |
is_on | |
is_port | |
is_quit_count_reached | |
is_read | |
is_recording_enabled | |
is_relevant | |
is_response_error | |
is_response_ok | |
is_tracing | |
is_type_name_registered | |
is_type_registered | |
is_unbounded | |
is_write | |
issue | |
item_done | |
K | |
kill | |
L | |
last | |
last_req | |
last_rsp | |
lock | |
lock_model | |
lookup | |
lookup_name | |
lookup_regex | |
lookup_regex_names | |
lookup_scope | |
lookup_type | |
M | |
main_phase | |
match_scope | |
max_size | |
mid_do | |
min_size | |
mirror |
Generate up to max_count transactions of type T.
virtual task generate_stimulus( T t = null, int max_count = 0 )
Get the value for field_name in inst_name, using component cntxt as the starting search point.
static function bit get( uvm_component cntxt, string inst_name, string field_name, inout T value )
Returns the singleton instance of this type.
static function this_type get()
Returns the singleton instance of this type.
static function this_type get()
Returns the singleton phase handle
static function uvm_build_phase get()
Returns the singleton phase handle
static function uvm_connect_phase get()
Returns the singleton phase handle
static function uvm_end_of_elaboration_phase get()
Returns the singleton phase handle
static function uvm_final_phase get()
Returns the current value stored within the DAP, and ‘locks’ the DAP.
virtual function T get()
Returns the object item at the given string key.
virtual function T get ( string key )
Returns the item with the given key.
virtual function T get ( KEY key )
Returns the item at the given index.
virtual function T get ( int index )
Returns the singleton handle to the resource pool
static function uvm_resource_pool get()
Static accessor for uvm_root.
static function uvm_root get()
Retrieves the next available item from a sequence.
task get ( output REQ t )
Returns the current value stored within the DAP.
virtual function T get()
Retrieves the value contained within the resource.
pure virtual function T get()
Returns the current value stored within the DAP
virtual function T get()
Retrieves the next available item from a sequence.
virtual task get( output T1 t )
Returns the singleton phase handle
static function uvm_start_of_simulation_phase get()
Provides a new transaction of type T.
virtual task get( output T2 t )
Return the current canonical time value, in the number of specified time unit, regardless of the current timescale of the caller.
function real get_abstime( real secs )
function time get_accept_time ()
Get the access policy of the field
virtual function string get_access( uvm_reg_map map = null )
Returns the uvm_action of the message that is currently being processed.
function uvm_action get_action()
virtual function uvm_action get_action()
virtual function uvm_action get_action()
Returns the head of the internal object stack for this policy.
virtual function uvm_object get_active_object()
Returns the current depth of the internal object stack for this policy.
virtual function int unsigned get_active_object_depth()
Get the number of bytes in the smallest addressable unit in the map.
virtual function int unsigned get_addr_unit_bytes()
Get the value of the m_address variable
virtual function bit [63:0] get_address()
This function loads a queue with all of the arguments that match the input expression and returns the number of items that matched.
function int get_arg_matches ( string match, ref string args[$] )
This function finds the first argument which matches the match arg and returns the suffix of the argument.
function int get_arg_value ( string match, ref string value )
This function finds all the arguments which matches the match arg and returns the suffix of the arguments in a list of values.
function int get_arg_values ( string match, ref string values[$] )
This function returns a queue with all of the command line arguments that were used to start the simulation.
function void get_args ( output string args[$] )
Get the user-defined backdoor for all registers in this block
function uvm_reg_backdoor get_backdoor( bit inherited = 1 )
function time get_begin_time ()
Finds a sub-block with the specified simple name.
virtual function uvm_reg_block get_block_by_name ( string name )
Get the sub-blocks
virtual function void get_blocks ( ref uvm_reg_block blks[$], input uvm_hier_e hier = UVM_HIER )
looks up a resource by name in the name map.
static function this_type get_by_name( string scope, string name, bit rpterr = 1 )
Imports a resource by name.
static function rsrc_t get_by_name( string scope, string name, bit rpterr = 1 )
Lookup a resource by name, scope, and type_handle.
function uvm_resource_base get_by_name( string scope = "", string name, uvm_resource_base type_handle, bit rpterr = 1 )
looks up a resource by type_handle in the type map.
static function this_type get_by_type( string scope = "", uvm_resource_base type_handle )
Get a resource by type.
static function rsrc_t get_by_type( string scope )
Lookup a resource by type_handle and scope.
function uvm_resource_base get_by_type( string scope = "", uvm_resource_base type_handle )
Return the current size of the m_byte_enable array
virtual function int unsigned get_byte_enable_length()
Returns the last callback accessed via a first() or next() call.
function CB get_cb()
Gets the check-on-read mode setting for this map.
function bit get_check_on_read()
This function populates the end of the children array with the list of this component’s children.
function void get_children( ref uvm_component children[$] )
Returns the uvm_report_object that has generated the message that is currently being processed.
function uvm_report_object get_client()
Get the value of the m_command variable
virtual function uvm_tlm_command_e get_command()
Get the “common” domain, which consists of the common phases that all components execute in sync with each other.
static function uvm_domain get_common_domain()
Returns a handle to the internal proxy component representing this port.
virtual function uvm_port_component_base get_comp()
retrieves the current component visitor if unset(or null) returns a uvm_component_name_check_visitor instance
virtual function uvm_visitor#( uvm_component ) get_component_visitor()
This method gets the object config of type TYPE associated with component comp.
static function TYPE get_config( uvm_component comp, bit is_fatal )
Implementation of the pure function declared in uvm_port_component_base
virtual function void get_connected_to( ref uvm_port_list list )
For a port or export type, this function fills list with all of the ports, exports and implementations that this port is connected to.
pure virtual function void get_connected_to( ref uvm_port_list list )
Returns the context name of the message that is currently being processed.
function string get_context()
virtual function string get_context()
Check if coverage measurement is on.
virtual function bit get_coverage( uvm_reg_cvr_t is_on = UVM_CVR_ALL )
Returns the request item currently being executed by the sequencer.
function REQ get_current_item()
Returns the request_item currently being executed by the sequencer.
function REQ get_current_item()
Return the value of the m_data array
virtual function void get_data ( output byte unsigned p [] )
Return the current size of the m_data array
virtual function int unsigned get_data_length()
Implementation of uvm_line_printer::get_default as defined in section 16.2.12.2.3 of 1800.2-2017.
static function uvm_line_printer get_default()
Implementation of uvm_table_printer::get_default as defined in section 16.2.10.2.3 of 1800.2-2017.
static function uvm_table_printer get_default()
Implementation of uvm_tree_printer::get_default as defined in section 16.2.11.2.4 of 1800.2-2017.
static function uvm_tree_printer get_default()
virtual function uvm_door_e get_default_door()
Get the default design abstraction
function string get_default_hdl_path ()
This returns the default address map for this block.
function uvm_reg_map get_default_map ()
Default access path
virtual function uvm_path_e get_default_path()
Implementation of the get_default_printer method, as defined in section F.4.1.4.13 of 1800.2-2017.
virtual function uvm_printer get_default_printer()
returns the current default record database
virtual function uvm_tr_database get_default_tr_database()
Returns the component’s depth from the root level.
function int unsigned get_depth()
Returns the depth of a sequence from its parent.
function int get_depth()
Return handle to the phase domain set on this component
function uvm_domain get_domain()
Returns the current drain time set for the given object (default: 0 ns).
function time get_drain_time ( uvm_object obj = null )
Returns the element container of the message.
function uvm_report_message_element_container get_element_container()
Get the element_container of the message
virtual function uvm_report_message_element_container get_element_container()
function bit get_enable_print_topology ()
Get the end offset of the region
function bit [63:0] get_end_offset()
Returns the time at which this transaction was accepted, begun, or ended, as by a previous call to accept_tr, begin_tr, begin_child_tr, or end_tr.
function time get_end_time ()
Returns the event pool associated with this transaction.
function uvm_event_pool get_event_pool ()
Returns the extension value stored within the policy with type matching ext_type.
virtual function uvm_object get_extension( uvm_object_wrapper ext_type )
Return the instance specific extension bound under the specified key.
function uvm_tlm_extension_base get_extension( uvm_tlm_extension_base ext_handle )
Returns the currently enabled uvm factory.
virtual function uvm_factory get_factory()
Finds a field with the specified simple name.
virtual function uvm_reg_field get_field_by_name ( string name )
Get the fields
virtual function void get_fields ( ref uvm_reg_field fields[$], input uvm_hier_e hier = UVM_HIER )
virtual function UVM_FILE get_file()
virtual function string get_filename()
virtual function bit get_finish_on_completion()
Returns the first enabled callback of type CB which resides in the queue for obj.
static function CB get_first ( ref int itr, input T obj )
These methods are used to iterate through this component’s children, if any.
function int get_first_child ( ref string name )
Returns the file name of the message.
function string get_fname()
Get the full hierarchical HDL path(s)
function void get_full_hdl_path ( ref string paths[$], input string kind = "", string separator = "." )
Get the hierarchical name
virtual function string get_full_name()
Returns the full hierarchical name of this object.
virtual function string get_full_name ()
Get the hierarchical name
virtual function string get_full_name()
Returns the full hierarchical name of this object.
virtual function string get_full_name ()
Returns the full hierarchical name of this port.
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name()
Returns the specified item instance from the global item pool.
static function T get_global ( string key )
Returns the specified item instance from the global item pool.
static function T get_global ( KEY key )
Returns the specified item instance from the global item queue.
static function T get_global ( int index )
Returns the singleton global pool for the item type, T.
static function this_type get_global_pool ()
Returns the singleton global pool for the item type, T.
static function this_type get_global_pool ()
Returns the singleton global queue for the item type, T.
static function this_type get_global_queue ()
Get the incremental HDL path(s)
function void get_hdl_path ( ref string paths[$], input string kind = "" )
In a queue of resources, locate the first one with the highest precedence whose type is T.
static function this_type get_highest_precedence( ref uvm_resource_types:: rsrc_q_t q )
Traverse a queue, q, of resources and return the one with the highest precedence.
static function uvm_resource_base get_highest_precedence( ref uvm_resource_types:: rsrc_q_t q )
Returns the string id of the message that is currently being processed.
function string get_id()
virtual function string get_id()
function int get_id_count( string id )
Returns the implementation (imp) port at the given index from the array of imps this port is connected to.
function uvm_port_base #( IF ) get_if(int index=0)
This method will be return in children a set of the direct subelements of s
pure virtual function void get_immediate_children( STRUCTURE s, ref STRUCTURE children[$] )
Returns the number of memory locations between two individual virtual registers in the same array.
virtual function int unsigned get_incr()
Returns the component that produced or started the transaction, as set by a previous call to set_initiator.
function uvm_component get_initiator ()
Returns the singleton instance of the UVM command line processor.
static function uvm_cmdline_processor get_inst()
Returns the current value of the instance counter, which represents the total number of uvm_object-based objects that have been allocated in simulation.
static function int get_inst_count()
Returns the object’s unique, numeric instance identifier.
virtual function int get_inst_id ()
Returns UVM_ACTIVE is the agent is acting as an active agent and UVM_PASSIVE if it is acting as a passive agent.
virtual function uvm_active_passive_enum get_is_active()
Returns the last enabled callback of type CB which resides in the queue for obj.
static function CB get_last ( ref int itr, input T obj )
Size of the memory region
function int unsigned get_len()
Returns the line number of the message.
function int get_line()
virtual function int get_line()
Return the position of the field
virtual function int unsigned get_lsb_pos()
Return the position of the virtual field / Returns the index of the least significant bit of the virtual field in the virtual register that instantiates it.
virtual function int unsigned get_lsb_pos_in_register()
Finds an address map with the specified simple name.
virtual function uvm_reg_map get_map_by_name ( string name )
Get the address maps
virtual function void get_maps ( ref uvm_reg_map maps[$] )
function int get_max_quit_count()
Returns the maximum width, in bits, of all registers.
static function int unsigned get_max_size()
Returns the maximum width, in number of bits, of all memories
static function int unsigned get_max_size()
Finds a memory with the specified simple name.
virtual function uvm_mem get_mem_by_name ( string name )
Get the memories
virtual function void get_memories ( ref uvm_mem mems[$], input uvm_hier_e hier = UVM_HIER )
Get the managed memory implementation
function uvm_mem get_memory()
Returns the string message of the message that is currently being processed.
function string get_message()
virtual function string get_message()
returns the uvm_tr_database used for recording messages
virtual function uvm_tr_database get_message_database()
Returns the width, in bits, of this register.
virtual function int unsigned get_n_bits ()
Returns the width, in number of bits, of each memory location
function int unsigned get_n_bits()
Returns the width, in bits, of the virtual field.
virtual function int unsigned get_n_bits()
Returns the width, in bytes, of this register.
virtual function int unsigned get_n_bytes()
Return the width, in number of bytes, of each memory location
function int unsigned get_n_bytes()
Number of bytes in the region
function int unsigned get_n_bytes()
Get the width in bytes of the bus associated with this map.
virtual function int unsigned get_n_bytes ( uvm_hier_e hier = UVM_HIER )
Returns the width, in bytes, of a virtual register.
virtual function int unsigned get_n_bytes()
Returns the number of memory locations used by a single virtual register.
virtual function int unsigned get_n_memlocs()
Returns the name of the object, as provided by the name argument in the new constructor or set_name method.
virtual function string get_name ()
Returns the leaf name of this port.
function string get_name()
virtual function string get_name()
Return the name of this instance
function string get_name()
This method should return a regex for what is being considered a valid/good component name.
virtual function string get_name_constraint()
Returns the next enabled callback of type CB which resides in the queue for obj, using itr as the starting point.
static function CB get_next ( ref int itr, input T obj )
Retrieves the next available item from a sequence.
virtual task get_next_item ( output REQ t )
Retrieves the next available item from a sequence.
virtual task get_next_item( output T1 t )
Returns the number of this component’s children.
function int get_num_children ()
Returns the number of times the rhs has been copied to a unique lhs
function int unsigned get_num_copies( uvm_object rhs )
Return the current number of instance specific extensions.
function int get_num_extensions()
Returns the size of the last requests buffer, as set by set_num_last_reqs.
function int unsigned get_num_last_reqs()
Returns the max size of the last responses buffer, as set by set_num_last_rsps.
function int unsigned get_num_last_rsps()
Returns the number of requests that have been sent by this sequencer.
function int get_num_reqs_sent()
Returns the number of responses received thus far by this sequencer.
function int get_num_rsps_received()
Returns the number of processes currently waiting at the barrier.
virtual function int get_num_waiters ()
Returns the number of processes waiting on the event.
virtual function int get_num_waiters ()
Returns the type-proxy (wrapper) for this object.
virtual function uvm_object_wrapper get_object_type ()
Returns the current number of objections raised by the given object.
function int get_objection_count ( uvm_object obj = null )
Returns the current number of objections raised by the given object and all descendants.
function int get_objection_total ( uvm_object obj = null )
Returns the current list of objecting objects (objects that raised an objection but have not dropped it).
function void get_objectors( ref uvm_object list[$] )
Returns the number of bits that were packed.
virtual function int get_packed_size()
Returns a handle to this component’s parent, or null if it has no parent.
virtual function uvm_component get_parent ()
Returns the handle to this port’s parent, or null if it has no parent.
virtual function uvm_component get_parent()
Get the parent block
virtual function uvm_reg_block get_parent()
Returns a reference to the parent sequence of any sequence on which this method was called.
function uvm_sequence_base get_parent_sequence()
This function returns a queue with all of the plus arguments that were used to start the simulation.
function void get_plusargs ( output string args[$] )
Retrieve the actual port object that this proxy refers to.
function PORT get_port()
Returns the previous enabled callback of type CB which resides in the queue for obj, using itr as the starting point.
static function CB get_prev ( ref int itr, input T obj )
This function returns the current priority of the sequence.
function int get_priority()
function int get_quit_count()
Converts the radix from an enumerated to a printable radix according to the radix printing knobs (bin_radix, and so on).
function string get_radix_str( uvm_radix_enum radix )
Return the current canonical time value, scaled for the caller’s timescale
function real get_realtime( time scaled, real secs = 1.0e-9 )
Finds a register with the specified simple name.
virtual function uvm_reg get_reg_by_name ( string name )
Get the registers
virtual function void get_registers ( ref uvm_reg regs[$], input uvm_hier_e hier = UVM_HIER )
Gets the action associated with reports having the given severity and id.
function int get_report_action( uvm_severity severity, string id )
Returns the first report catcher that has name.
static function uvm_report_catcher get_report_catcher( string name )
Gets the file descriptor associated with reports having the given severity and id.
function int get_report_file_handle( uvm_severity severity, string id )
virtual function uvm_report_handler get_report_handler()
Returns the underlying report handler to which most reporting tasks are delegated.
function uvm_report_handler get_report_handler()
Gets the maximum verbosity level in effect for this report object.
function int get_report_max_verbosity_level()
virtual function uvm_report_object get_report_object()
returns the current global report_server if no report server has been set before, returns an instance of uvm_default_report_server
virtual function uvm_report_server get_report_server()
virtual function uvm_report_server get_report_server()
Gets the verbosity level in effect for this object.
function int get_report_verbosity_level( uvm_severity severity = UVM_INFO, string id = "" )
Get the specified reset value for this register
virtual function uvm_reg_data_t get_reset( string kind = "HARD" )
By default, sequences must retrieve responses by calling get_response.
virtual task get_response( output RSP response, input int transaction_id = -1 )
Returns the current depth setting for the response queue.
function int get_response_queue_depth()
When this bit is ‘1’ (default value), error reports are generated when the response queue overflows.
function bit get_response_queue_error_report_enabled()
Return the current value of the m_response_status variable
virtual function uvm_tlm_response_status_e get_response_status()
Return the current value of the m_response_status variable as a string
virtual function string get_response_string()
Get the all root blocks
static function void get_root_blocks( ref uvm_reg_block blks[$] )
Provides a reference to the root sequence (the top-most parent sequence).
function uvm_sequence_base get_root_sequence()
Provides the name of the root sequence (the top-most parent sequence).
function string get_root_sequence_name()
Retrieve the regular expression string that identifies the set of scopes over which this resource is visible.
function string get_scope()
private
function int get_sequence_id()
Provides a string of names of each sequence in the full hierarchical path.
function string get_sequence_path()
Returns the sequence state as an enumerated value.
function uvm_sequence_state_enum get_sequence_state()
Returns a reference to the default sequencer used by this sequence.
function uvm_sequencer_base get_sequencer()
Gets the global report server used for reporting.
static function uvm_report_server get_server()
Returns the uvm_severity of the message that is currently being processed.
function uvm_severity get_severity()
virtual function uvm_severity get_severity()
function int get_severity_count( uvm_severity severity )
Returns the number of unique memory locations in this memory.
function longint unsigned get_size()
Returns the size of the virtual register array.
virtual function int unsigned get_size()
Get the start offset of the region
function bit [63:0] get_start_offset()
Returns the ‘starting phase’.
function uvm_phase get_starting_phase()
Get the value of the m_streaming_width array
virtual function int unsigned get_streaming_width()
Return the offset of the given submap.
virtual function uvm_reg_addr_t get_submap_offset ( uvm_reg_map submap )
Gets the current threshold setting for the barrier.
virtual function int get_threshold ()
Returns the simulation tool that is executing the simulation.
function string get_tool_name ()
Returns the version of the simulation tool that is executing the simulation.
function string get_tool_version ()
Returns the integral recording transaction handle for this sequence.
function int get_tr_handle()
Returns the handle associated with the transaction, as set by a previous call to begin_child_tr or begin_tr with transaction recording enabled.
function int get_tr_handle ()
Returns this transaction’s numeric identifier, which is -1 if not set explicitly by set_transaction_id.
function int get_transaction_id()
Gets the data, if any, provided by the last call to trigger.
virtual function T get_trigger_data ()
Gets the time that this event was last triggered.
virtual function time get_trigger_time ()
Returns the type-proxy (wrapper) for this object.
static function uvm_object_wrapper get_type ()
Static function that returns the static type handle.
static function this_type get_type()
Returns the static type handle of this resource in a polymorphic fashion.
function uvm_resource_base get_type_handle()
Pure virtual function that returns the type handle of the resource container.
pure virtual function uvm_resource_base get_type_handle()
An interface to polymorphically retrieve a handle that uniquely identifies the type of the sub-class
pure virtual function uvm_tlm_extension_base get_type_handle()
An interface to polymorphically retrieve the name that uniquely identifies the type of the sub-class
pure virtual function string get_type_handle_name()
Returns the value given by the string parameter, Tname.
virtual function string get_type_name()
Returns the value given by the string parameter, Tname.
virtual function string get_type_name()
Returns the value given by the string parameter, Tname.
virtual function string get_type_name()
This function returns the type name of the object, which is typically the type identifier enclosed in quotes.
virtual function string get_type_name ()
Returns the value given by the string parameter, Tname.
virtual function string get_type_name()
Derived classes implement this method to return the type name of the object created by create_component or create_object.
pure virtual function string get_type_name()
Returns the type name to this port.
virtual function string get_type_name()
Returns the state of the use_response_handler bit.
function bit get_use_response_handler()
These methods are used to set and get the status of the use_sequence_info bit.
function bit get_use_sequence_info()
Get a handle to the singleton uvm domain
static function uvm_domain get_uvm_domain()
Get the “UVM” schedule, which consists of the run-time phases that all components execute when participating in the “UVM” domain.
static function uvm_phase get_uvm_schedule()
Returns the current UVM seeding enable value, as set by set_uvm_seeding.
pure virtual function bit get_uvm_seeding()
static function bit get_uvm_seeding()
virtual function uvm_bitstream_t get_value( output int size, output uvm_radix_enum radix )
Get the value (object reference) of the element
virtual function uvm_object get_value()
virtual function string get_value()
Returns the verbosity of the message that is currently being processed.
function int get_verbosity()
virtual function int get_verbosity()
Finds a virtual field with the specified simple name.
virtual function uvm_vreg_field get_vfield_by_name ( string name )
Get the virtual fields
virtual function void get_virtual_fields ( ref uvm_vreg_field fields[$], input uvm_hier_e hier = UVM_HIER )
Get the virtual registers
virtual function void get_virtual_registers( ref uvm_vreg regs[$], input uvm_hier_e hier = UVM_HIER )
Finds a virtual register with the specified simple name.
virtual function uvm_vreg get_vreg_by_name ( string name )
Find the virtual register implemented at the specified offset
virtual function uvm_vreg get_vreg_by_offset( uvm_reg_addr_t offset, uvm_reg_map map = null )
Requests a lock on the specified sequencer.
task grab( uvm_sequencer_base sequencer = null )
Returns 1 if this component has a child with the given name, 0 otherwise.
function int has_child ( string name )
Check if block has coverage model(s)
virtual function bit has_coverage( uvm_reg_cvr_t models )
Indicates whether a sequence item is available for immediate processing.
virtual function bit has_do_available()
Check if a HDL path is specified
function bit has_hdl_path ( string kind = "" )
Returns 1 if this sequence has a lock, 0 otherwise.
function bit has_lock()
Return the unique ID of this UVM TLM extension type.
static function this_type ID()
Increment the time value by the specified number of scaled time unit
function void incr( real t, time scaled, real secs = 1.0e-9 )
Set, get, or increment the counter for reports with the given id.
function void incr_id_count( string id )
function void incr_quit_count()
function void incr_severity_count( uvm_severity severity )
Initialize a new access record
function void init_access_record ( inout uvm_resource_types:: access_t access_record )
All subtypes of this class must call init_sequence_library in its constructor.
function void init_sequence_library()
Inserts the item at the given index in the queue.
virtual function void insert ( int index, T item )
Returns 1 if the transaction has been started but has not yet been ended.
function bit is_active ()
Returns 1 if the auditing facility is on and 0 if it is off.
static function bit is_auditing()
Returns 1 is auto_item_recording is enabled, otherwise 0
virtual function bit is_auto_item_recording_enabled()
Return TRUE if automatic item recording is enabled for this port instance.
virtual function bit is_auto_item_recording_enabled()
Returns a bit indicating whether this sequence is currently prevented from running due to another lock or grab.
function bit is_blocked()
DMI hint.
virtual function bit is_dmi_allowed()
Returns 1 when there are no entries in the FIFO, 0 otherwise.
virtual function bit is_empty()
Returns 1 if the callback is enabled, 0 otherwise.
function bit is_enabled()
function bit is_export ()
pure virtual function bit is_export()
Returns 1 when the number of entries in the FIFO is equal to its size, 0 otherwise.
virtual function bit is_full()
Check if this block has an absolute path
function bit is_hdl_path_root ( string kind = "" )
Returns 1 if this port is of the type given by the method name, 0 otherwise.
function bit is_imp ()
These function determine the type of port.
pure virtual function bit is_imp()
Returns 1 on items and 0 on sequences.
virtual function bit is_item()
This function may be called on any sequence_item or sequence.
virtual function bit is_item()
Return TRUE if the model is locked.
function bit is_locked()
Returns the state of the lock.
function bit is_locked()
This method is used during unpack operations to peek at the next 4-bit chunk of the pack data and determine if it is 0.
virtual function bit is_null ()
Indicates whether the event has been triggered or been reset.
virtual function bit is_off ()
Indicates whether the event has been triggered since it was last reset.
virtual function bit is_on ()
function bit is_port ()
pure virtual function bit is_port()
If is_quit_count_reached returns 1, then the quit counter has reached the maximum.
function bit is_quit_count_reached()
Returns true if the current value of the m_command variable is UVM_TLM_READ_COMMAND.
virtual function bit is_read()
Returns 1 if recording is currently on, 0 otherwise.
function bit is_recording_enabled()
The default is_relevant implementation returns 1, indicating that the sequence is always relevant.
virtual function bit is_relevant()
Return TRUE if the current value of the m_response_status variable is not UVM_TLM_OK_RESPONSE
virtual function bit is_response_error()
Return TRUE if the current value of the m_response_status variable is UVM_TLM_OK_RESPONSE
virtual function bit is_response_ok()
Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
silently check type with a given name was registered in the factory or not
virtual function bit is_type_name_registered ( string type_name )
pure virtual function bit is_type_name_registered ( string type_name )
silently check type is registered in the factory or not
virtual function bit is_type_registered ( uvm_object_wrapper obj )
pure virtual function bit is_type_registered ( uvm_object_wrapper obj )
Returns 1 if this port has no maximum on the number of implementation ports this port can connect to.
function bit is_unbounded ()
Returns true if the current value of the m_command variable is UVM_TLM_WRITE_COMMAND.
virtual function bit is_write()
Immediately issues the message which is currently being processed.
protected function void issue()
Indicates that the request is completed.
virtual function void item_done ( RSP item = null )
Indicates that the request is completed to the sequencer.
virtual function void item_done( input T2 t = null )
This function will kill the sequence, and cause all current locks and requests in the sequence’s default sequencer to be removed.
function void kill()
Returns the last valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
function CB last()
Returns the key of the last item stored in the pool.
virtual function int last ( ref KEY key )
Returns the last request item by default.
function REQ last_req( int unsigned n = 0 )
Returns the last response item by default.
function RSP last_rsp( int unsigned n = 0 )
Requests a lock on the specified sequencer.
task lock( uvm_sequencer_base sequencer = null )
Locks the data value
function void lock()
Lock a model and build the address map.
virtual function void lock_model()
Looks for a component with the given hierarchical name relative to this component.
function uvm_component lookup ( string name )
Lookup resources by name.
function uvm_resource_types::rsrc_q_t lookup_name( string scope = "", string name, uvm_resource_base type_handle = null, bit rpterr = 1 )
Looks for all the resources whose name matches the regular expression argument and whose scope matches the current scope.
function uvm_resource_types::rsrc_q_t lookup_regex( string re, scope )
This utility function answers the question, for a given name, scope, and type_handle, what are all of the resources with requested name, a matching scope (where the resource scope may be a regular expression), and a matching type?
function uvm_resource_types::rsrc_q_t lookup_regex_names( string scope, string name, uvm_resource_base type_handle = null )
This is a utility function that answers the question: For a given scope, what resources are visible to it?
function uvm_resource_types::rsrc_q_t lookup_scope( string scope )
Lookup resources by type.
function uvm_resource_types::rsrc_q_t lookup_type( string scope = "", uvm_resource_base type_handle )
The uvm_main_phase phase implementation method.
virtual task main_phase( uvm_phase phase )
Using the regular expression facility, determine if this resource is visible in a scope.
function bit match_scope( string s )
This function is a user-definable callback function that is called after the sequence item has been randomized, and just before the item is sent to the driver.
virtual function void mid_do( uvm_sequence_item this_item )
Update the mirrored values
virtual task mirror( output uvm_status_e status, input uvm_check_e check = UVM_NO_CHECK, input uvm_door_e path = UVM_DEFAULT_DOOR, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )