D | |
Database API | |
Debug | |
debug_connected_to | |
debug_create_by_name | |
debug_create_by_type | |
debug_provided_to | |
debug_report_catcher | |
dec_radix | |
decr | |
default data | |
Default Policy Classes | |
default_alloc | |
default_map | |
default_path | |
default_precedence | |
default_radix | |
define_domain | |
delete | |
delete_by_name | |
delete_callback | |
delete_elements | |
depth | |
die | |
disable_auto_item_recording | |
disable_recording | |
display | |
display_objections | |
do_accept_tr | |
do_begin_tr | |
do_block | |
do_bus_read | |
do_bus_write | |
do_close | |
do_close_db | |
do_compare | |
do_copy | |
do_end_tr | |
do_establish_link | |
do_free | |
do_get_lhs | |
do_get_rhs | |
do_kill | |
do_not_randomize | |
do_open | |
do_open_db | |
do_open_recorder | |
do_open_stream | |
do_pack | |
do_predict | |
do_print | |
do_read | |
do_record | |
do_record_field | |
do_record_field_int | |
do_record_field_real | |
do_record_generic | |
do_record_object | |
do_record_string | |
do_record_time | |
do_set_lhs | |
do_set_rhs | |
do_unpack | |
do_write | |
drop_objection | |
dropped | |
dump | |
E | |
element | |
element_kind | |
emit | |
enable_print_topology | |
enable_report_id_count_summary | |
end_elements | |
end_event | |
end_of_elaboration_phase | |
end_offset | |
END_REQ | |
END_RESP | |
end_tr | |
end_v | |
Enumerations | |
events | |
Example | |
execute_item | |
execute_report_message | |
exists | |
Explicit Register Predictor | |
extension | |
extension_exists | |
Extensions Mechanism | |
extract_phase | |
F | |
Factory Component and Object Wrappers | |
Factory Interface | |
Field automation | |
Field Macros | |
fifo | |
File Configuration | |
final_phase | |
find | |
find_all | |
find_block | |
find_blocks | |
find_override_by_name | |
find_override_by_type | |
find_unused_resources | |
find_wrapper_by_name | |
finish_item | |
finish_on_completion | |
first | |
flush | |
fname | |
footer | |
for_each | |
format_action | |
format_footer | |
format_header | |
format_row | |
Frontdoor | |
full_name |
The debug_connected_to method outputs a visual text display of the port/export/imp network to which this port connects (i.e., the port’s fanout).
function void debug_connected_to ( int level = 0, int max_level = -1 )
Debug traces for create_*_by_name methods.
virtual function void debug_create_by_name ( string requested_type_name, string parent_inst_path = "", string name = "" )
These methods perform the same search algorithm as the create_* methods, but they do not create new objects.
pure virtual function void debug_create_by_name ( string requested_type_name, string parent_inst_path = "", string name = "" )
Debug traces for create_*_by_type methods.
virtual function void debug_create_by_type ( uvm_object_wrapper requested_type, string parent_inst_path = "", string name = "" )
pure virtual function void debug_create_by_type ( uvm_object_wrapper requested_type, string parent_inst_path = "", string name = "" )
The debug_provided_to method outputs a visual display of the port/export network that ultimately connect to this port (i.e., the port’s fanin).
function void debug_provided_to ( int level = 0, int max_level = -1 )
Turn on report catching debug information.
static function void debug_report_catcher( int what = 0 )
This string should be prepended to the value of an integral type when a radix of UVM_DEC is used for the radix of the integral object.
string dec_radix = "'d"
Decrement the time value by the specified number of scaled time unit
function void decr( real t, time scaled, real secs )
Region allocation policy
uvm_mem_mam_policy default_alloc
Default address map
uvm_reg_map default_map
Default access path for the registers and memories in this block.
`ifdef UVM_ENABLE_DEPRECATED_API uvm_door_e default_path = UVM_DEFAULT_DOOR
The default precedence for an resource that has been created.
static int unsigned default_precedence = 1000
This knob sets the default radix to use for integral values when no radix enum is explicitly supplied to the uvm_printer::print_field or uvm_printer::print_field_int methods.
uvm_radix_enum default_radix = UVM_HEX
This is the default radix setting if record_field is called without a radix.
uvm_radix_enum default_radix = UVM_HEX
Builds custom phase schedules into the provided domain handle.
virtual protected function void define_domain( uvm_domain domain )
Deletes the given callback object, cb, from the queue associated with the given obj handle.
static function void delete( T obj, uvm_callback cb )
Removes the item with the given string key from the pool.
virtual function void delete ( string key )
Removes the item with the given key from the pool.
virtual function void delete ( KEY key )
Removes the item at the given index from the queue; if index is not provided, the entire contents of the queue are deleted.
virtual function void delete ( int index = -1 )
Delete the index-th element in the container
virtual function void delete( int index )
If rsrc exists within the pool, then it is removed from all internal maps.
virtual function void delete ( uvm_resource_base rsrc )
Removes the given callback object, cb, associated with one or more uvm_component callback queues.
static function void delete_by_name( string name, uvm_callback cb, uvm_component root )
Unregisters the given callback, cb, from this event.
virtual function void delete_callback ( uvm_event_callback#(T) cb )
Delete all the elements in the container
virtual function void delete_elements()
Indicates how deep to recurse when printing objects.
int depth = -1
This method is called by the report server if a report reaches the maximum quit count or has a UVM_EXIT action associated with it, e.g., as with fatal errors.
virtual function void die()
Disables auto_item_recording
virtual function void disable_auto_item_recording()
By default, item recording is performed automatically when get_next_item() and item_done() are called.
virtual function void disable_auto_item_recording()
Turns off recording for the transaction stream.
function void disable_recording ()
This function displays callback information for obj.
static function void display( T obj = null )
Displays objection information about the given object.
function void display_objections( uvm_object obj = null, bit show_header = 1 )
The accept_tr method calls this function to accommodate any user-defined post-accept action.
virtual protected function void do_accept_tr ( uvm_transaction tr )
This user-definable callback is called by accept_tr just before the accept event is triggered.
virtual protected function void do_accept_tr ()
The begin_tr and begin_child_tr methods call this function to accommodate any user-defined post-begin action.
virtual protected function void do_begin_tr ( uvm_transaction tr, string stream_name, int tr_handle )
This user-definable callback is called by begin_tr and begin_child_tr just before the begin event is triggered.
virtual protected function void do_begin_tr ()
Test all of the memories in a given block
protected virtual task do_block( uvm_reg_block blk )
Test all of the memories in a given block
protected virtual task do_block( uvm_reg_block blk )
Test all of the registers in a block
protected virtual task do_block( uvm_reg_block blk )
Test all of the registers in a given block
protected virtual task do_block( uvm_reg_block blk )
Test all of the registers in a given block
protected virtual task do_block( uvm_reg_block blk )
Test all of the registers and memories in a block
protected virtual task do_block( uvm_reg_block blk )
Perform a bus read operation.
virtual task do_bus_read ( uvm_reg_item rw, uvm_sequencer_base sequencer, uvm_reg_adapter adapter )
Perform a bus write operation.
virtual task do_bus_write ( uvm_reg_item rw, uvm_sequencer_base sequencer, uvm_reg_adapter adapter )
Callback triggered via uvm_recorder::close.
protected virtual function void do_close( time close_time )
Callback triggered via uvm_tr_stream::close.
protected virtual function void do_close()
Close the backend connection to the database.
protected virtual function bit do_close_db()
The do_compare method is the user-definable hook called by the compare method.
virtual function bit do_compare ( uvm_object rhs, uvm_comparer comparer )
Compares this generic payload to rhs.
function bit do_compare( uvm_object rhs, uvm_comparer comparer )
The do_copy method is the user-definable hook called by the copy method.
virtual function void do_copy ( uvm_object rhs )
Copy the rhs object into this object.
virtual function void do_copy( uvm_object rhs )
The end_tr method calls this function to accommodate any user-defined post-end action.
virtual protected function void do_end_tr ( uvm_transaction tr, int tr_handle )
This user-definable callback is called by end_tr just before the end event is triggered.
virtual protected function void do_end_tr ()
Establishes a link between two elements in the database
protected virtual function void do_establish_link( uvm_link_base link )
Callback triggered via uvm_recorder::free.
protected virtual function void do_free()
Callback triggered via uvm_tr_stream::free.
protected virtual function void do_free()
Retrieves the left-hand-side (Cause)
virtual function uvm_object do_get_lhs()
Retrieves the left-hand-side (Parent)
virtual function uvm_object do_get_lhs()
Retrieves the left-hand-side
virtual function uvm_object do_get_lhs()
Retrieves the right-hand-side (Effect)
virtual function uvm_object do_get_rhs()
Retrieves the right-hand-side (Child)
virtual function uvm_object do_get_rhs()
Retrieves the right-hand-side
virtual function uvm_object do_get_rhs()
If set, prevents the sequence from being randomized before being executed by the `uvm_do*() and `uvm_rand_send*() macros, or as a default sequence.
`ifdef UVM_ENABLE_DEPRECATED_API bit do_not_randomize
Callback triggered via uvm_tr_stream::open_recorder.
protected virtual function void do_open( uvm_tr_stream stream, time open_time, string type_name )
Callback triggered via uvm_tr_database::open_stream.
protected virtual function void do_open( uvm_tr_database db, string scope, string stream_type_name )
Open the backend connection to the database.
protected virtual function bit do_open_db()
Marks the beginning of a new record in the stream
protected virtual function uvm_recorder do_open_recorder( string name, time open_time, string type_name )
Provides a reference to a stream within the database.
protected virtual function uvm_tr_stream do_open_stream( string name, string scope, string type_name )
The do_pack method is the user-definable hook called by the pack methods.
virtual function void do_pack ( uvm_packer packer )
Updates the abstract (mirror) FIFO based on write() and read() operations.
virtual function void do_predict( uvm_reg_item rw, uvm_predict_e kind = UVM_PREDICT_DIRECT, uvm_reg_byte_en_t be = -1 )
The do_print method is the user-definable hook called by print and sprint that allows users to customize what gets printed or sprinted beyond the field information provided by the `uvm_field_* macros, Utility and Field Macros for Components and Objects.
virtual function void do_print ( uvm_printer printer )
Perform a read operation.
virtual task do_read( uvm_reg_item rw )
The do_record method is the user-definable hook called by the record method.
virtual function void do_record ( uvm_recorder recorder )
Records an integral field (less than or equal to 4096 bits).
protected virtual function void do_record_field( string name, uvm_bitstream_t value, int size, uvm_radix_enum radix )
Records an integral field (less than or equal to 64 bits).
protected virtual function void do_record_field_int( string name, uvm_integral_t value, int size, uvm_radix_enum radix )
Record a real field.
protected virtual function void do_record_field_real( string name, real value )
Records a name/value pair, where value has been converted to a string.
protected virtual function void do_record_generic( string name, string value, string type_name )
Record an object field.
protected virtual function void do_record_object( string name, uvm_object value )
Records a string field.
protected virtual function void do_record_string( string name, string value )
Records a time field.
protected virtual function void do_record_time( string name, time value )
Sets the left-hand-side (Cause)
virtual function void do_set_lhs( uvm_object lhs )
Sets the left-hand-side (Parent)
virtual function void do_set_lhs( uvm_object lhs )
Sets the left-hand-side
virtual function void do_set_lhs( uvm_object lhs )
Sets the right-hand-side (Effect)
virtual function void do_set_rhs( uvm_object rhs )
Sets the right-hand-side (Child)
virtual function void do_set_rhs( uvm_object rhs )
Sets the right-hand-side
virtual function void do_set_rhs( uvm_object rhs )
The do_unpack method is the user-definable hook called by the unpack method.
virtual function void do_unpack ( uvm_packer packer )
Perform a write operation.
virtual task do_write( uvm_reg_item rw )
Drops the number of objections for the source object by count, which defaults to 1.
virtual function void drop_objection ( uvm_object obj = null, string description = "", int count = 1 )
The dropped callback is called when this or a descendant of this component instance drops the specified objection.
virtual function void dropped ( uvm_objection objection, uvm_object source_obj, string description, int count )
Objection callback that is called when a drop_objection has reached obj.
virtual function void dropped ( uvm_object obj, uvm_object source_obj, string description, int count )
Objection dropped callback function.
virtual function void dropped ( uvm_objection objection, uvm_object obj, uvm_object source_obj, string description, int count )
Dump all the resources in the resource pool.
static function void dump()
dump the entire resource pool.
function void dump( bit audit = 0, uvm_printer printer = null )
A handle to the RegModel model element associated with this transaction.
uvm_object element
Kind of element being accessed: REG, MEM, or FIELD.
uvm_elem_kind_e element_kind
Emits a string representing the contents of an object in a format defined by an extension of this object.
virtual function string emit ()
Formats the collected information from prior calls to print_* into table format.
virtual function string emit()
If set, then the entire testbench topology is printed just after completion of the end_of_elaboration phase.
bit enable_print_topology = 0
A flag to enable report count summary for each ID
bit enable_report_id_count_summary=1
This defines the number of elements at the end of a list that should be printed.
int end_elements = 5
A uvm_event#(uvm_object) that is triggered when this transaction’s actual execution on the bus ends, typically as a result of a driver calling uvm_component::end_tr.
`ifdef UVM_ENABLE_DEPRECATED_API uvm_event#( uvm_object ) end_event
The uvm_end_of_elaboration_phase phase implementation method.
virtual function void end_of_elaboration_phase( uvm_phase phase )
Last address of managed space
rand bit [63:0] end_offset
This function marks the end of a transaction, tr, by this component.
function void end_tr ( uvm_transaction tr, time end_time = 0, bit free_handle = 1 )
This function indicates that the transaction execution has ended.
function void end_tr ( time end_time = 0, bit free_handle = 1 )
This method will be invoked by the visitor after the last NODE is visited
virtual function void end_v()
The event pool instance for this transaction.
`ifdef UVM_ENABLE_DEPRECATED_API const uvm_event_pool events = new( "events" )
Executes the given transaction item directly on this sequencer.
virtual task execute_item( uvm_sequence_item item )
Processes the provided message per the actions contained within.
virtual function void execute_report_message( uvm_report_message report_message, string composed_message )
Processes the provided message per the actions contained within.
pure virtual function void execute_report_message( uvm_report_message report_message, string composed_message )
Check if a value for field_name is available in inst_name, using component cntxt as the starting search point.
static function bit exists( uvm_component cntxt, string inst_name, string field_name, bit spell_chk = )
Returns 1 if an item with the given key exists in the pool, 0 otherwise.
virtual function int exists ( KEY key )
Handle to optional user data, as conveyed in the call to write(), read(), mirror(), or update() used to trigger the operation.
rand uvm_object extension
Function extension_exists Returns 1 if an extension exists within the policy with type matching ext_type; otherwise, returns 0.
virtual function bit extension_exists( uvm_object_wrapper ext_type )
The uvm_extract_phase phase implementation method.
virtual function void extract_phase( uvm_phase phase )
The abstract representation of the FIFO.
rand uvm_reg_data_t fifo[$]
The uvm_final_phase phase implementation method.
virtual function void final_phase( uvm_phase phase )
function uvm_component find ( string comp_match )
Returns the component handle (find) or list of components handles (find_all) matching a given string.
function void find_all ( string comp_match, ref uvm_component comps[$], input uvm_component comp = null )
Recursively finds all component instances of the parameter type TYPE, starting with the component given by start.
static function types_t find_all( uvm_component start )
Find the first block whose hierarchical names match the specified name glob.
static function uvm_reg_block find_block( input string name, input uvm_reg_block root = null, input uvm_object accessor = null )
Find the blocks whose hierarchical names match the specified name glob.
static function int find_blocks( input string name, ref uvm_reg_block blks[$], input uvm_reg_block root = null, input uvm_object accessor = null )
These methods return the proxy to the object that would be created given the arguments.
virtual function uvm_object_wrapper find_override_by_name ( string requested_type_name, string full_inst_path )
These methods return the proxy to the object that would be created given the arguments.
pure virtual function uvm_object_wrapper find_override_by_name ( string requested_type_name, string full_inst_path )
virtual function uvm_object_wrapper find_override_by_type ( uvm_object_wrapper requested_type, string full_inst_path )
pure virtual function uvm_object_wrapper find_override_by_type ( uvm_object_wrapper requested_type, string full_inst_path )
Locate all the resources that have at least one write and no reads
function uvm_resource_types::rsrc_q_t find_unused_resources()
This method returns the uvm_object_wrapper associated with a given type_name.
pure virtual function uvm_object_wrapper find_wrapper_by_name ( string type_name )
finish_item, together with start_item together will initiate operation of a sequence_item.
virtual task finish_item ( uvm_sequence_item item, int set_priority = -1 )
If set, then run_test will call $finish after all phases are executed.
`ifdef UVM_ENABLE_DEPRECATED_API bit finish_on_completion = 1
Returns the first valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
function CB first()
Returns the key of the first item stored in the pool.
virtual function int first ( ref KEY key )
This method sets m_matches and m_mismatches back to zero.
virtual function void flush()
The flush method resets the internal state of the policy, such that it can be reused.
virtual function void flush()
Removes all entries from the FIFO, after which used returns 0 and is_empty returns 1.
virtual function void flush()
The file name from where this transaction originated, if provided at the call site.
string fname
Indicates whether the uvm_printer::format_footer function should be called when printing an object.
bit footer = 1
Iterate over all currently allocated regions
function uvm_mem_region for_each( bit reset = 0 )
Returns a string representation of the action, e.g., “DISPLAY”.
static function string format_action( uvm_action action )
Hook to override base footer with a custom footer.
virtual function string format_footer()
Hook to override base header with a custom header.
virtual function string format_header()
Hook for producing custom output of a single field (row).
virtual function string format_row ( uvm_printer_row_info row )
Indicates whether uvm_printer::adjust_name should print the full name of an identifier or just the leaf name.
bit full_name = 0