R | |
raise_objection | |
raised | |
read | |
Read-only Interface | |
Read/ Write Interface | |
read_by_name | |
read_by_type | |
read_mem_by_name | |
read_reg_by_name | |
reconfigure | |
record | |
record_all_messages | |
record_error_tr | |
record_event_tr | |
record_read_access | |
record_write_access | |
Recording | |
Recording Interface | |
Recording Macros | |
recursion_policy | |
reference | |
reg_ap | |
reg_seq | |
reg_seqr | |
reg2bus | |
register | |
Register Access Test Sequences | |
Register Callbacks | |
Register Defines | |
Register Sequence Classes | |
Registering Types | |
release_all_regions | |
release_region | |
remove | |
Report Catcher | |
Report Handler Configuration | |
Report Macros | |
report_phase | |
report_summarize | |
Reporting | |
Reporting Interface | |
req | |
req_export | |
req_port | |
request_ap | |
request_region | |
Requests | |
reseed | |
reserve_region | |
reset | |
reset_blk | |
reset_phase | |
reset_quit_count | |
reset_report_handler | |
reset_severity_counts | |
resolve_bindings | |
Resources | |
Response API | |
response_ap | |
response_handler | |
Responses | |
result | |
resume | |
Retrieving the factory | |
rg | |
rsp | |
rsp_export | |
rsp_port | |
Run-Time Phasing | |
run_phase | |
run_test | |
rw_info |
Raises the number of objections for the source object by count, which defaults to 1.
virtual function void raise_objection ( uvm_object obj = null, string description = "", int count = 1 )
The raised callback is called when this or a descendant of this component instance raises the specified objection.
virtual function void raised ( uvm_objection objection, uvm_object source_obj, string description, int count )
Objection callback that is called when a raise_objection has reached obj.
virtual function void raised ( uvm_object obj, uvm_object source_obj, string description, int count )
Objection raised callback function.
virtual function void raised ( uvm_objection objection, uvm_object obj, uvm_object source_obj, string description, int count )
function T read( uvm_object accessor = null )
Locates a resource by name and scope and reads its value.
static function bit read_by_name( input string scope, input string name, inout T val, input uvm_object accessor = null )
Reads a value by type.
static function bit read_by_type( input string scope, inout T val, input uvm_object accessor = null )
Read the named memory
virtual task read_mem_by_name( output uvm_status_e status, input string name, input uvm_reg_addr_t offset, output uvm_reg_data_t data, input uvm_door_e path = UVM_DEFAULT_DOOR, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Read the named register
virtual task read_reg_by_name( output uvm_status_e status, input string name, output uvm_reg_data_t data, input uvm_door_e path = UVM_DEFAULT_DOOR, input uvm_reg_map map = null, input uvm_sequence_base parent = null, input int prior = -1, input uvm_object extension = null, input string fname = "", input int lineno = 0 )
Reconfigure the manager
function uvm_mem_mam_cfg reconfigure( uvm_mem_mam_cfg cfg = null )
The record method deep-records this object’s properties according to an optional recorder policy.
function void record ( uvm_recorder recorder = null )
A flag to force recording of all messages (add UVM_RM_RECORD action)
bit record_all_messages = 0
This function marks an error transaction by a component.
function int record_error_tr ( string stream_name = "main", uvm_object info = null, string label = "error_tr", string desc = "", time error_time = 0, bit keep_active = 0 )
This function marks an event transaction by a component.
function int record_event_tr ( string stream_name = "main", uvm_object info = null, string label = "event_tr", string desc = "", time event_time = 0, bit keep_active = 0 )
Record the read access information for this resource for debug purposes.
function void record_read_access( uvm_object accessor = null )
Record the write access information for this resource for debug purposes.
function void record_write_access( uvm_object accessor = null )
Sets the recursion policy for recording objects.
`ifndef UVM_ENABLE_DEPRECATED_API local `endif uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
Controls whether to print a unique reference ID for object handles.
bit reference = 1
Analysis output port that publishes uvm_reg_item transactions converted from bus transactions received on bus_in.
uvm_analysis_port #( uvm_reg_item ) reg_ap
The sequence used to test one register
protected uvm_reg_single_access_seq reg_seq
The sequence used to test one register
protected uvm_reg_single_bit_bash_seq reg_seq
The sequence used to test one register
protected uvm_reg_shared_access_seq reg_seq
Layered upstream “register” sequencer.
uvm_sequencer #( uvm_reg_item ) reg_seqr
Extensions of this class must implement this method to convert the specified uvm_reg_bus_op to a corresponding uvm_sequence_item subtype that defines the bus transaction.
pure virtual function uvm_sequence_item reg2bus( const ref uvm_reg_bus_op rw )
Converts a uvm_reg_bus_op struct to a uvm_tlm_gp item.
virtual function uvm_sequence_item reg2bus( const ref uvm_reg_bus_op rw )
Registers the given proxy object, obj, with the factory.
virtual function void register ( uvm_object_wrapper obj )
Registers the given proxy object, obj, with the factory.
pure virtual function void register ( uvm_object_wrapper obj )
Forcibly release all allocated memory regions.
function void release_all_regions()
Release the specified region
function void release_region( uvm_mem_region region )
Remove a single component to the set of components being monitored.
function void remove ( uvm_component comp )
The uvm_report_phase phase implementation method.
virtual function void report_phase( uvm_phase phase )
Outputs statistical information on the reports issued by this central report server.
virtual function void report_summarize( UVM_FILE file = UVM_STDOUT )
Outputs statistical information on the reports issued by this central report server.
pure virtual function void report_summarize( UVM_FILE file = UVM_STDOUT )
The sequence contains a field of the request type called req.
REQ req
Request and reserve a memory region
function uvm_mem_region request_region( int unsigned n_bytes, uvm_mem_mam_policy alloc = null, string fname = "", int lineno = 0 )
Calls srandom on the object to reseed the object using the UVM seeding mechanism, which sets the seed based on type name and instance name instead of based on instance position in a thread.
function void reseed ()
Reserve a specific memory region
function uvm_mem_region reserve_region( bit [63:0] start_offset, int unsigned n_bytes, string fname = "", int lineno = 0 )
Resets the barrier.
virtual function void reset ( bit wakeup = 1 )
Resets the event to its off state.
virtual function void reset ( bit wakeup = 0 )
Reset the mirror for this block.
virtual function void reset( string kind = "HARD" )
Reset the value to 0
function void reset()
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk( uvm_reg_block blk )
The uvm_reset_phase phase implementation method.
virtual task reset_phase( uvm_phase phase )
Set, get, increment, or reset to 0 the quit count, i.e., the number of COUNT actions issued.
function void reset_quit_count()
Resets the underlying report handler to its default settings.
function void reset_report_handler
Set, get, or increment the counter for the given severity, or reset all severity counters to 0.
function void reset_severity_counts()
Processes all port, export, and imp connections.
virtual function void resolve_bindings ()
This callback is called just before entering the end_of_elaboration phase.
virtual function void resolve_bindings()
When the use_response_handler bit is set to 1, this virtual task is called by the sequencer for each response that arrives for this sequence.
virtual function void response_handler( uvm_sequence_item response )
This bit stores the number of miscompares for a given compare operation.
`ifdef UVM_ENABLE_DEPRECATED_API int unsigned result = 0
Resume this component.
virtual task resume ()
The register to be tested
uvm_reg rg
The register to be tested
uvm_reg rg
The register to be tested
uvm_reg rg
The sequence contains a field of the response type called rsp.
RSP rsp
The uvm_run_phase phase implementation method.
virtual task run_phase( uvm_phase phase )
The push sequencer continuously selects from its list of available sequences and sends the next item from the selected sequence out its req_port using req_port.put(item).
task run_phase( uvm_phase phase )
Convenience function for uvm_top.run_test().
task run_test ( string test_name = "" )
Phases all components through all registered phases.
virtual task run_test ( string test_name = "" )
Holds information about the register being read or written
uvm_reg_item rw_info